eda数字频率计设计

2022-09-18 版权声明 我要投稿

第1篇:eda数字频率计设计

小型数字频率计的设计

摘 要:频率计在电子技术中应用是很广泛的,它可以用来测量正弦波信号、方波信号及尖脉冲信号等各种信号的频率,所以频率计的关键是单位时间内测量出输入脉冲信号的个数。如果用一个固定的时间T控制闸门电路,在T时间将闸门打开,被测信号通过计数译码显示,即可得到并显示出被测信号的频率Fx,那么Fx=N/T,式中T是闸门开门的时间(s),N是所计脉冲个数,Fx是频率(Hz)。当开门时间T=1s,Fx=N,即计数器所计的脉冲个数就是输入信号的频率。本设计主要是采用NE555与电阻电容组成的多谐振荡器,得到秒脉冲信号,再经稳态、取样、封锁、计数、译码、锁存、驱动把被测信号频率变成十进制数字显示出来,实现了频率的测量。

关键词:数字频率计;脉冲信号 ;计数器

1设计原理

本电路设计原理主要是采用NE555与电阻R和电容C組成的多谐振荡器,得到秒脉冲信号。然后经测量控制门、控制闸门将被测的信号送到CD40110十进制加减法计数器计数、译码、锁存、驱动,由数码显示管将被测信号显示出来,即把被测信号在输出端变成十进制数字显示出来。其设计原理框图如图1.1所示:

2 单元电路设计

2.1 秒脉冲信号发生器电路的设计

能够实现秒脉冲的电路很多,可以用施密特触发器和电阻电容组成振荡电路再经分频得到,也可以用NE555构成多谐振荡器输出脉冲信号,也可以用晶振经分频得到。采用NE555时基电路组成秒脉冲发生器,在准确度要求不太高的情况下,可以用NE555组成的电路,其工作原理如图2.1所示:

2.2 测量控制门电路的设计

测量控制门电路作用是保证频率计的工作状态是计数1s,显示1s,清零1s。线路由十进制计数、分配器CD4017等组成。CD4017线路工作原理图如图2.2。当复位时,只有Q0为高电平,其余输出均为低电平,输出端为Q0~Q9,12脚为进位输出端,13脚为后沿计数脉冲输入端,14脚为前沿计数脉冲输入端,8脚接电源负极,16交接电源正极,15脚为清“0”端。线路的工作原理:由CP端输入的秒脉冲信号,当输入第1个秒脉冲时,CD4017的输出端Q1输出高电平,其余输出端均为低电平。这一高电平加到控制闸门F1(CD4081)的①脚,将控制闸门打开,由控制闸门F1的②脚输入被测信号。当第2个秒脉冲输入后,CD4017的输出端Q1变为低电平,Q2变为高电平,Q1的低电平通过F1的①脚将控制闸门关闭,被测信号停在输入。

在第1至第2个秒脉冲期间,输入到计数器的被测脉冲数,就是被测信号的频率。在第3个秒脉冲输入前Q2保持高电平的1秒钟,为

数值显示保持时间。在第3个秒脉冲输入后,输出端Q3变为高电平,

由于Q3(7脚)与复位端CR(15脚)相连,使CD4017组成的控制门复位为0,为下一次测量做准备。通过上述的设计,实现了设计的要求,即计数1s,保持1s,清零1s。

2.3 输出电路的设计

输出电路包括控制闸门、计数电路、译码电路、锁存电路、驱动电路及数字显示电路。 十进制的计数器采用CD40110集成电路,它是符合设计要求的计数、译码、锁存、驱动为一体的计数器,数码显示管采用ULS—5010SA型的,线路的工作原理如图2.3所示。

由图2.3可知,被测信号在与门被打开后,进入计数器,经计数、译码、锁存、驱动。由于与门高电平持续1秒钟,所以显示出来的频率就是被测的频率。图2.3是数字个位的显示电路图,当个位显示计数到10时,由CD40110的进位端输出,传输到十位计数器的计数输入端再进行计数,以后的百位、千位显示的工作原理以此类推。

结语

本文首先简述了数字频率计的设计原理,分析了实现该频率计的技术指标,包括设计任务和要求、单元电路的设计等。这里着重介绍了取样电路的设计、控制电路的设计、输出电路的设计。当然,这次设计的小型数字频率计只是初级产品,还可以根据对功能不同的要求进行改装,实现可以对被测频率自我调节和显示数值大小及测试时间等。

参考文献

[1] 杨素行.模拟电子技术基础简明教程[M].高等教育出版社,2004.

[2] 阎石.数字电子技术基础[M].高等教育出版社,2004.

[3] 何立民.单片机应用系统设计[M].北京航空航天大学出版社,1990.

[4] 彭介华.电子技术设计课程指导[M].高等教育出版社,1997.

作者:申宏伟

第2篇:基于FPGA的数字频率计设计

摘要:该文运用VHDL硬件描述语言进行数字频率计设计,频率计主要通过闸门控制电路产生计数周期为1s,清零周期为0.5s,2s为一个周期的测量信号频率。并通过计数器记录频率值,最后通过数码显示电路显示被测信号频率值。该文设计一个6位频率计,可以测量1~999999Hz的信号频率。

关键词:闸门控制电路;计数器;寄存器;动态扫描显示电路

Design of Digital Frequency Meter Based on FPGA

ZHANG Cong, MENG Xiang-bin

(Department of Automatic Control Engineering, Shenyang institute of Engineering, Shenyang 110136, China)

Key words: Gate control circuit; Counter; Register; Dynamic scanning display circuit;

数字频率计是通信设备、计算机、音频等科研生产领域及在进行电路的设计、安装、调试过程中是不可缺少的测量仪器。

1 数字频率计总体设计方案

数字频率计系统关键在于闸门电路的合理设计,目的在于产生合理的闸门时间和清零复位时间。使之对十位计数器和寄存器电路进行控制。

通过数字频率计的顶层文件设计,分别对闸门控制器,十进制计数器,寄存器,6位扫描数码管显示电路进行例化语句编程,并通过例化语句调用对端口进行映射。使之综合出数字频率计RTL文件,并对设计器件波形仿真,进行合理化验证。

从系统顶层文件中我们可以看出,数字频率计主要由闸门控制器模块,十进制计数器模块,寄存器模块,6位扫描数码管显示电路模块。

2 闸门电路设计

2.1 通过对闸门控制电路控制信号的分析:

通过闸门控制电路要产生计数周期为1s,清零周期为0.5s,2s为一个周期的测量信号频率。

外部接入的clk信号周期为1s,通过对clk信号取反使其分频为周期2s的信号Q。然后对Q信号和clk信号的高电平进行逻辑与运算,使其产生0.5s的清零信号,取Q信号的高电平为1s的计数控制信号。

2.2 闸门电路VHDL语言主要设计

——- SIGNAL Q:STD_LOGIC;

IF CLK'EVENT AND CLK='1' THEN Q<=NOT Q;

在结构体中定义信号Q,从而实现分频功能。

IF (CLK='0' AND Q='0') THENCNT_RST<='1';

形成0.5s的复位信号。是计数器复位。

运用Quater2生成闸门电路的RTL图

3 十进制计数器设计

十进制计数器设计思路:通过6个十进制计数器一次组合生产6为十进制计数器对所测频率进行测量。个位时钟信号接被测频率信号,然后依次把低位的进位输出信号与下一级输入时钟信号端相连,从而形成6位频率计数器。运用Quater2生成十进制计数器的RTL图。

4 总体例化语句调用

通过循环例化语句对上述例化模块进行循环调用。

从而实现顶层文件设计。对6个十进制加法计数器的的例化语句调用。使其实现从0Hz~999999Hz的计数功能。

5 结论

采用自上而下的设计方法, 将数字频率计系统划分为5个子模块, 针对各个子模块分别进行设计与编程, 充分利用VHDL语言的并行处理功能, 同时考虑器件的时延对系统的影响. 设计出的系统经过QUATERⅡ的仿真验证, 最终完全实现了预定的功能, 在测量范围、测量精度、测量速度等面都比以前有较大的改善. 对于1Hz~999999Hz的频率范围的信号具有较好的测试能力。

参考文献:

[1] 包明,赵明富,郭建华.基于FPGA的高速高精度频率测量的研究[J].单片机与嵌入式系统应用,2003(2):31-33.

[2] 毛智德,吕善伟.基于FPGA的等精度频率计设计[J].电子测量技术,2006(8):85-86.

作者:张聪 孟祥斌

第3篇:基于晶振频率补偿的高精度数字时钟设计

摘要:为解决晶体振荡器频率隐患问题,如长期频漂过大问题,研究人员提出了一种使用GPS秒脉冲信号对晶振频率进行自动校准的解决方案。通过对晶振频率偏移进行补偿,提高时钟设计精度。本文根据上述方案对基于晶振频率补偿的高精度数字时钟设计方案及其实现方法进行了分析探讨,望能够给相关人员提供一定的参考价值。

关键词:GPS;晶振频率;高精度;数字时钟

随着电子技术的不断发展,在时频器件方面,近年来国内外研究人员将研究重点转移到了高精度时钟的研究与设计方面,并在研究中广泛应用微处理器、GPS定时校频等技术,以期可以达到更高的设计精度。从某种程度上来说,一个时钟的准确度主要取决于频率源产生的秒脉冲情况,即其精确度是否达标。普通晶振频率准确度在累积误差方面具备较好的一致性,通过结合GPS接收机以及长短波接收机,可以进一步加强自身的比对校准程度,时钟的准确度会得到大幅度提高。而通过以GPS秒脉冲为标准的频率源,可以对晶振产生的秒脉冲起到自动修正作用,具有較大的应用意义。

1 数字时钟的组成结构与运行方式

基于频率补偿的高精度数字时钟主要是由GPS定时接收机、普通晶振、时钟产生及校准电路、单片机数据算法处理模块等基本组成结构为主。一般来说,GPS定时接收机在正式工作过程中,输出1路TTL电平的标准秒脉冲信号,秒脉冲时间信息数据将会通过RS 232串口完成输出动作,并由单片机完成读取动作。其中,晶振属于本地秒脉冲与相差测量之间产生的频率源,待时钟进行校准的过程中,本地原始秒脉冲及修正后会产生系列秒脉冲动作。在此过程中,相差测量电路会采用脉冲填充计数法,确定GPS秒脉冲与本地秒脉冲之间存在的差值大小,测量结果主要由单片机进行获取[1]。

需要注意的是,单片机在处理过程中,主要利用最小二乘法对本地秒脉冲与GPS精确度进行合理修整,以满足时钟精确需求。除此之外,时钟产生电路动作主要是由晶振分频获得。究其原因,主要是因为普通晶振标称频率与实际输出频率之间存在明显误差问题,并随着时间进行累积。结合实践经验来看,普通晶振标称频率累积越多,稳定性效果并不会增加,反而会降低。为规避这一问题的影响,研究人员提出基于晶振频率补偿的高精度数字时钟设计方案,目的在于解决普通晶振稳定性效果不佳的问题,以期进一步完善时钟精确。

2 硬件电路设计方案

硬件电路模块设计主要是以可编程逻辑器件为主要器件类型。其中,电路设计主要以本地时钟产生与校准模块、鉴相与相差技术模块为主,在实际设计过程中,相关人员需要针对上述两个模块进行重点设计,具体如下:

2.1 时钟产生与校准模块

时钟产生校准电路组成主要是由24位计数器、预置值寄存器以及比较器电路等基本模块构成。待系统通电之后,复位信号会对24位计数器以及预置值寄存器实现清零动作,为下一步运行工作奠定基础。实现清零动作之后,GPS秒脉冲会出现上升情况,在此过程中,我们可以将其上升值视为第一次同步信号,并进行计数、实行本地晶振计数[2]。

需要注意的是,如果24位计数器计数值与预置初始频率值进行比较的过程中,出现明显的不相等情况。此时,我们需要继续实行计数操作,待数值稳定之后,即可获得本地秒脉冲信号。但是需要注意的是,介于晶振自身存在明显的漂移问题,本地产生的秒脉冲难以回避与GPS秒脉冲存在的隐患问题,如相位差问题。为解决这一问题,建议相关人员可以利用单片机最小二乘法方式,确保补偿后的频率值科学、合理。

2.2 鉴相与相差技术电路

鉴相电路在实质层面上,主要是通过GPS秒脉冲和晶振秒脉冲之间存在的相位差信号完成提取动作,一般多作为相差计数电路的主要信号方式。在实现鉴相与相差计数线路设计方案的过程中,我们可以利用3D鉴相器实现设计方案。所谓的3D鉴相器主要是由触发器、选择器为基本组成结构,并以GPS信号为主要信号形式。

在实际应用过程中,3D鉴相器多会以选择器作为输出端,根据晶振秒脉冲相位变化情况,确定相差信号计数情况。随之,晶振秒脉冲会处罚中断信号,待单片机响应之后,内部存在的相差数据会录入到内存单元当中。此时,系统会向计数器发出指令,即清零信号。周而复始,等待下一个清零指令。

3 算法设计内容与应用

软件设计所应用的器件,主要为单片机。本文所研究的单片机主要以P89C51单片机器件为主。结合上述内容可知,单片机在实际应用过程中,涉及到的主要算法为最小二乘算法。算法设计内容与应用具体如下:

单片机接收相差数据的过程中,往往会以预先设定的数值为标准。在此过程中,所获得的数据需要结合一次线性数理统计方式完成计算分析过程中,得到的数值可以视为补偿所需数值。待通过数据总线作用,我们可以将修正后的数值放入到储存器当中,实现晶振秒脉冲信号的顺利输出。

在进行单片机算法流程的过程中,我们可以利用一次线性数理统计方式,实行“开始”操作,并将单片机数据进行初始化处理,设取数据数为n,并设取初始变量i=1。并在此基础上,利用算法流程方式,确定实际送补偿值。待确定好实际送补偿值之后,我们可以进行相差数据算法处理工作,并总结与归纳具体修正结果。

4 相差数据算法处理与修正情况分析

表1是根据上述设计方案,利用单片机采集到的相差数据,仅供参考。

根据表格相差值内容,我们可以利用最小二乘算法进行计算处理。一般来说,利用一元回归方程的最小二乘算法基本上可以确定实际估算值,并且我们可以实际估算值,明确本地晶振产生的秒脉冲对于GPS秒脉冲的误差影响、以及对GPS秒脉冲产生的频偏问题等。在此基础上,我们可以根据本地晶振补偿前的标准频率数值,明确与补偿后之间的差距问题,目的在于进一步确保补偿后的准确度。需要注意的是,晶振频偏与标准频率之间存在明显的线性关联性。

5结论

总而言之,通过利用GPS自动定时校频,基本上实现了数字式频率的高精度晶振时标要求。并在此基础上,通过利用晶振频率补偿方法,能够进一步提高普通晶振的准确度,并取得较好的补偿效果。需要注意的是,守时性能情况主要取决于晶振稳定性能。针对于此,若想提高时钟守时性能,设计人员必须采用更高稳定度的频率标准,确保时钟守时性能科学、合理。

参考文献:

[1]张斌,张东来.基于 GPS的高精度时钟在线校频与授时研究[J].中国电机工程学报,2012,32(10):160-167.

[2]蓝波,胡修林.一种高精度系统时间同步方法[J].信息与控制,2011,40(3):61?65.

(作者单位:中国电子科技集团公司第五十四研究所)

作者:姜峰

第4篇:EDA课程设计——数字频率计

燕 山 大 学 EDA课程设计报告书

题目:数字频率计

姓名:Eric 班级:09电子x班 学号: 090104020xxxx 成绩:

(注:此文件应以同学学号为文件名)

一、设计题目及要求

1.输入为矩形脉冲,频率范围0~99MHz;

2.用五位数码管显示;只显示最后的结果,不要将计数过程显示出来;

3.单位为Hz和KHz两档,自动切换。

二、设计过程及内容 1.总体设计思路

总电路图主要有两部分组成,即测频电路和扫描电路。

图1 总电路图

图2 总电路图仿真波形

测频电路测量一秒钟内通过计数器的脉冲个数,将其送至扫描电路中显示。

2.主要模块实现方法 (1)扫描电路

试验箱上共有8个数码管,但共用一个显示输入端,因此如要显示两位以上的数字,就必须使用扫描电路。其作用就在于不同的时间使不同的数码管显示当前输入的与其对应的数字,由于扫描的频率很高,带给人眼的

感觉就是同时在显示。四个八选一数据选择器。扫描电路由一个八进制计数器、四个八选一数据选择器741

51、一个七段译码器7448组成。

图3 扫描电路

图4 四个74151接法

图5 扫描电路仿真波形

(2)测频电路

测频电路由一个366进制计数器和计数换挡及寄存电路组成

图6 测频电路

图 7 测频电路仿真波形

在366进制计数器输入频率为366Hz的时钟信号,当该计数器通过366个脉冲,即经过时间一秒后366进制计数器的进位端输出高电平,将脉冲计数器置零,并控制储存寄存电路输出一秒内通过脉冲计数器的脉冲个数,以达到测量频率的效果,并且保证只显示最后结果不显示中间计数过程。

图8 366进制计数器

计数换挡及寄存电路由1个一亿进制计数器和20个门电路组合以及20个D触发器组成的寄存电路组成。

图9 计数换挡及寄存电路

因为要求测量0到99MHz的频率所以选用一亿进制计数器计脉冲的个数,置零端通过一个非门和366进制计数器的进位输出端相连,又要求使用5喂数码管,所以当计数器十万位的数为1时就换挡,换挡后将不显示后3位数,测试的单位由Hz变为kHz。

图10 一亿进制计数器

(3)换挡的实现

换挡电路由20个门电路的组合构成,20个电路组合的A端分别接一亿进制计数器的Q0到Q19即低五位,B端分别接一亿进制计数器的Q12到Q31即高五位,CO和NCO接一亿进制计数器的进位输出端。S端接寄存电路D触发器的出入端。

S=AC’+BC

图11 换挡门电路组合

(4)寄存电路

寄存电路由20个D触发器接成,输入输出端分别接换挡电路和扫描电路。CLK端接366进制计数器的进位输出端以实现对数据的存储和输出。

图12 寄存电路

三、设计结论(包括设计过程中出现的问题;对EDA课程设计感想、意见和建议)

8 (1)出现过的问题

在使用Max-Plus时在画图的初始阶段不知道如何旋转器件,仿真阶段endtime设置的过长,时钟脉冲周期设置的过小导致因器件延迟造成的仿真失效。在试验箱的使用过程中因没插跳线导致程序无法下载到芯片。

(2)对EDA课程设计感想

刚拿到题目是觉得无从下手十分躁,第二天思考了一天仍无法突破,也曾有所抱怨。当看到每个分立的模块仿真均正确而组合起来的总电路仿真效果十分混乱的时候感到极其的困惑,在老师的建议下把电路图下载到了试验箱里解决了这个问题。最后当自己的设计通过老师验收的时候心里无比的轻松喜悦……

应用软件MAX-Plus的使用大大减小了因在纸上画电路图的工作量,通过简单的电路设计,提高了我的独立思考能力,通过连结实验箱增强了我的动手能力,并延伸了我在课堂上学到的知识, 此次课程设计让我认识到高新技术的快速发展和应用,让我看到了EDA技术功能的强大,也让我认识到掌握他们的重要性,同时也看到了自己的差距与不足,我知道只有今后自己努力学习,拓宽自己的知识面,才能更好的掌握这项技术,也才能适应社会的发展。

(3)意见和建议

希望能更新更多的题目。

第5篇:eda实验报告-两位十进制频率计设计

工学院实验报告

姓名:黄娟

学号:32214125

班级:自动141

成绩:

实验名称:2位十进制频率计设计

一、 实验目的

1. 掌握EDA工具Quartus Ⅱ的使用; 2. 掌握Quartus Ⅱ的原理图设计流程。 3. 掌握2位十进制频率计设计方法。

二、2位十进制频率计设计

1、Quartus Ⅱ原理图设计流程

(1)计数器设计 a.设计电路原理图 b.建立工程 c.系统仿真 d.生成元件符号 (2)频率计主结构电路设计 (3)时序控制电路设计

(4)顶层电路设计

2、2位十进制频率计 (1)原理图

1

工学院实验报告

(2)仿真测试结果

(2)RTL图

工学院实验报告

三、实验小结

通过这次实验,我们掌握EDA工具Quartus Ⅱ的使用; 了解了Quartus Ⅱ的原理图设计流程。掌握2位十进制频率计设计方法。其中原理图中运用主线和线的命名实现连接更加简单方便。基于前面的设计基础,所以我们在搞清楚实验目的和要求之后,便开始了设计实验,而且整个过程中思路比较清晰,我们首先设计了计数器,然后将计数器封装置后,设置为可以在后边的设计电路中调用的工具文件,然后设计了2位十进制数字频率计的电路,最后经过仿真测试,实现了实验要求的功能。

第6篇:数字电路EDA课程设计数字跑表

EDA课程设计报告书

题目: 数字跑表

姓名: 班级: 学号: 成绩:

一、设计题目及要求 设计题目:数字跑表

要求:1 具有暂停,启动功能。

2 具有重新开始功能。

3 用六个数码管分别显示百分秒,秒和分钟。

二、设计过程及内容

拿到题目后,我在图书馆进行了相关书籍的查阅,首先明确了题目中设计项目要实现的功能,再进一步确定实现其功能的组成部分和使用器件,对于本次设计的总体思路,首先是设计一个控制模块,可以使跑表具有启动、暂停及重新开始的功能;然后,利用一个分频模块即15进制计数器得到100HZ的时钟脉冲,接入到一个100*60*60三个计数器的模块中,完成对时间的计时工作和对选时模块的输出工作,使选时模块得到对应的时间,其次将选时模块与显示模块连接,使数码管显示选中的当前时间,从而完成了这次课程设计的设计工作,进入到实现过程中去。

根据课程设计要求将设计分为5个模块:

1、控制模块,使跑表具有启动、暂停及重新开始的功能;

2、分频模块,用于得到频率为100HZ的时钟脉冲;

3、计时模块,进行时间的计时,同时将当前时间输出给选时模块;

4、选时模块,从计时器得到当前时间输出给显示模块;

5、显示模块,进行时间的显示。 总图如下:

2 第一个模块:控制器模块

与门可控制时钟信号的输出与否,当跑表为START状态时CLK端为高电平,QA为1,时钟信号输出,当跑表为STOP状态时CLK端为低电平,QA为0,时钟信号不输出,从而实现开始和暂停的功能。REST是清零按钮,REST接到控制模块和总计时器模块的清零端,当REST为高电平时,控制模块和总计数器模块清零,跑表重新开始工作。

第二个模块:分频器模块

将74161接成15进制计数器,将1465HZ的时钟频率转换成近似于100HZ的时钟信号即所需的输入时钟信号,从而实现分频功能。将得到的时钟信号输入到总计数器模块中去。

3 第三个模块:计时模块

计时模块

本模块由两个60进制计数器和一个100进制计数器构成,从而实现百分秒向秒、秒向分的计数功能需求。60进制计数器及100进制计数器均采用两个74LS160,采用整体置数方式接成。从60进制计数器和100进制计数器这三个输出端分别印出八个端口(秒、分、时的个位及十位分别由四个二进制代码表示),将当前时间编码传送给选时模块,实现时间的选择和显示。(秒个位:S0A,S0B,S0C,S0D;秒十位:S1A,S1B,S1C,S1D;分个位:M0A,M0B,M0C,M0D;分十位:M1A,M1B,M1C,M1D;百分秒个位:H0A,H0B,H0C,H0D;百分秒十位:H1A,H1B,H1C,H1D.)

100进制计数器

60进制计数器

第四个模块:选时模块

本模块由四个八选一数据选择器74LS151和地址选择器74LS161构成。

地址选择器74LS161接入一个1465 HZ的时钟信号,使能端和清零端接高电平,使其循环工作,产生一组循环地址码A、B、C,接到数码管的地址端,使其循环显示数字。同时,地址选择器74LS161产生的一组循环地址码接入到四个八选一数据选择器74LS151上,使其对地址相同的一组数据进行选择,产生四个二进制数A0,A1,A2,A3,即为数码管所要显示的数字的编码。

第一个74LS151上的输入端为秒、分、百分秒个位及十位的四位二进制的最低位(S0A,S1A, M0A, M1A, H0A, H1A), 第二个74LS151上的输入端为秒、分、百分秒个位及十位的四位二进制的次低位(S0B,S1B,M0B,M1B,H0B,H1B), 第三个74LS151上的输入端为秒、分、百分秒个位及十位的四位二进制的第二位(S0C,S1C,M0C,M1C,H0C,H1C), 第四个74LS151上的输入端为秒、分、百分秒个位及十位的四位二进制的第一位(S0D,S1D,M0D,M1D,H0D,H1D),通过这四个八位二进制数比较器74LS151选出同一组数(秒个位:S0A,S0B,S0C,S0D;秒十位:S1A,S1B,S1C,S1D;分个位:M0A,M0B,M0C,M0D;分十位:M1A,M1B,M1C,M1D;百分秒个位:H0A,H0B,H0C,H0D;百分秒十位:H1A,H1B,H1C,H1D.)作为输出A0,A1,A2,A3,接到显示模块输入端。

选时模块

第五个模块:显示模块

本模块采用BCD—七段显示译码器7448对实验板上数码管进行驱动。由选时模块输出的显示数字编码A0,A1,A2,A3接至输入端A,B,C,D,使输出端产生七位译码连接到实验箱公共数据输入端ABCDEDG,从而进行数据的显示。

显示模块

三、设计结论

两周的课程设计很快就结束了,虽然时间很短,但是收获颇丰。通过这次课程设计,我学到了许多关于EDA的知识,认识到了EDA的强大功能,更重要的是增强了我的实践动手能力,使我深刻地认识到仅仅学习课本上的知识是远远不够的,必须要多多动手,多多实践,才能真正理解并掌握所学的知识,达到学以致用的目的。同时我也深深地感受到严谨的态度对于科学研究的重要性。由于在设计的过程中,一点点的马虎都可能造成整个系统的瘫痪,所以每一个细节都要认真思考,认真操作,不能有丝

6 百分的大意。这使我认识到要想做一个科研工作者是多么的不易!自己身上的缺点还有很多,要靠以后艰苦的努力来克服!

这次的EDA课程设计给了我一次非常重要也非常难得的实践机会,使我可以将平时课本上学习的理论知识应用于实际操作。设计的过程是十分艰苦的,由于从未接触过类似的领域,所以刚开始的时候一片茫然,不知道该干些什么。随着研究的逐渐深入,自己渐渐的摸出头绪,掌握了一些规律和方法,设计的成果也逐步成型,最终按照要求完成了设计。在实际操作的过程中,碰到了许多的困难,但最终在老师的耐心指导和同学的热情帮助下,按时完成了任务。在此对老师和同学们表示衷心的感谢!

最后感谢老师给与我这次宝贵的实践机会!

第7篇:东华大学数字电路课程设计_数字频率计

数 字 电 路 课 程 设 计

第一章设计指标

……………………………………....……...…….... P2 设计指标

……………………………………………………………....

第二章 系统概述

………………………………………...…..…...…....P3 2.1设计思想

………………………………………………………….. 2.2可行性论证

…………………………………………….…...…... 2.3各功能的组成

……………………………………………………… 2.4总体工作过程

……………………………………………………… 第三章 单元电路设计与分析

………………………………...…...…...P4 3.1各单元电路的选择

……………………………………………… 3.2设计及工作原理分析

……………………………………………… 第四章 电路的组构与调试

…………………………………..…...…... P7 4.1 遇到的主要问题

………………………………………………….. 4.2 现象记录及原因分析

……………………………………………. 4.3 解决措施及效果

………………………………………………… 4.4 功能的测试方法、步骤、设备、记录的数据

…………………… 第五章 结束语

………………………………………………………...P11 5.1对设计题目的结论性意见及进一步改进的意向说明

………….. 5.2 总结设计的收获与体会

…………………………………………. 附图(电路图、电路总图) ………………………………………………P12

第一章设计指标

在生产实践和科学实验中,经常需要测量信号的频率。数字频率计就是用数字方式测量和显示被测信号频率的仪器。实用的数字频率可以测量多种不同的周期波形。

设计要求

要求设计一个测量TTL方波信号频率的数字系统。用按键选择测量信号频率。测量值采用四个LED七段数码管显示,并以发光二极管指示测量对象:测量的单位 HZ khz。频率测量范围有四档量程。

(1) 测量结果显示4位有效数字,测量结果显示四位有效数字。测量精度为万分之一。 (2) 频率测量范围:0.1hz~999.9khz,分四档。

第一档:100.1hz~999.9hz

第二档:1.000khz~9.999khz

第三档:10.00khz~99。99khz

第四档:100.0khz~999.9khz (3) 量程切换可以采用两个按键手动切换或由电路控制自动切换。

设计思想

数字频率计的基本原理是测量周期信号在单位时间内的信号周波数。主要电路为计数器需要控制的是计数器的输入脉冲。显然切换被测信号的时基信号的路径就可以实现数字频率记测量功能的转换。

由于测量结果以十进制显示,为了显示译码方便,一般采用十进制计数器级联构成信号测试电路。本设计要求频率测量结果以四位有效数字显示,所以可以采用四个十进制计数器级联构成莫为10000的极术器对被测信号计数,最大值为9999.四个计数器的4组BCD码译码后显示的结果。

第二章 系统概述

2.1设计思想

总体思想可以分为五块:

1. 量程选择(包含小数点以及单位控制) 2. 单稳态触发器 3. 计数器和锁存器 4. 译码显示 5. 分频计

2.2可行性论证

该设计通过单稳态触发器输出的清零信号和锁存信号控制译码的显示

2.3各功能的组成

量程通过操作台上的两个按键组合成2x2种组合,同时控制多个74153M芯片达到同时控制时基信号,档位,小数点,单位指示灯的选择分别反馈到分频器,单稳态触发器等各个模块的控制段,达到时基信号,档位,小数点,单位指示灯一一对应的效果然后通过计数器,锁存器,以及译码显示,最终在操作台的四位七段显示器上显示结果

第三章 单元电路设计与分析

各单元电路的选择以及原理简要分析

1. 量程选择(包含小数点以及单位控制)

电路如上图,A,B两个输入端子同时对档位,小数点,以及单位控制端。

2.单稳态触发器

单稳态电路如上,输出锁存端Y,然后Y通过一个D触发器产生一个延时一个周期的清零信号,因为计数器和锁存器的级联,必先锁存有效,再对计数器进行清零,所以清零信号要延时于锁存信号。

3. 计数器和锁存器

计数器如下图,用四个74160十进制计数器进位输出端RCO通过一个非门进行级联,构成一个10000进制计数器,其中第一个74160的仿真图也在下面

锁存器:锁存器采用两个74374进行对四个74160输出的16个二进制数字进行锁存

其中引出清零段和锁存端,

4. 译码显示

采用四位动态扫描:当选着段AB选择不同的值时,分别从四片74153M中选择出同一下标的数据

6. 分频器,分频器分为两个模块,一个是DIV8,即把10MHZ的信号依次分频10,最后能够达到0.1HZ的频率。

另一个是通过芯片达到任意进制的分频器(基础要求当中的8分频和四分频)

第四章 电路的组构与调试

4.1 遇到的主要问题

我在这个设计电路当中,设计,调试比较顺利,唯一让我陷入困境的问题是,当输入某一频率时,显示器不能直接显示最终结果。

4.2 现象记录及原因分析

问题现象1:显示器乱码

问题现象1:显示器一直显示0 问题现象2:例如输入为500赫兹频率的信号时,显示器从0000由一递增开始快速跳到500然后瞬间清零,达不到锁存目的。 分析:锁存器输出段和显示器的连接端口不对,并且电路当中的锁存器的锁存信号没有在应该有效的时候令锁存器达到锁存目的,故我着重检查计数—锁存电路

4.3 解决措施及效果

对于问题一,在仔细对照大课题前的四位动态扫描小实验当中的引脚接入,发现,一个74160所输出的4为二进制码并不是全部接入显示电路当中的同一片74153M芯片,导致乱码,而是应该分别接入四片74153M芯片。在重新接入对应的引脚后,显示器不再显示乱码,却出现问题现象二

对于问题现象2。在仔细检查电路之后,发现单稳态输出的锁存通过非门接入锁存器锁存段导致显示一直存在于0000,而后去掉了非门,结果照成问题现象3. 针对问题现象3 措施1.修改单稳态电路,一共设计了以下的新的单稳态方案

仿真

:虽然是清零信号延时于锁存信号,但理论上会带来一定的误差,不过应该还是能大致正确显示频率数,结果接入新的单稳态芯片后,发现问题没有解决,依旧是显示器从0000由一递增开始快速跳到500然后瞬间清零,达不到锁存目的。

措施二,发现74373的锁存端是高电平有效,于是在高频率的信号输入下,锁存的时间跟清零时间非常接近导致锁存失败。提出新的才想:如果换成74374锁存信号上升沿有效的锁存器。会不会解决问题,于是把原先锁存电路当中的74373换成74373.结果正常实现。问题解决,在全部连接入其他部分的电路后,达到课程设计的基础要求

拓展要求方面

对于拓展要求二当中的实现多种频率信号,开始觉得采用不同分频的分频器就能达到效果,于是利用741690的置位端以及4个按钮达到多分频的目的。结果调试发现,某些频率的第一位有效数字重复,达不到1-9的目的。

于是采用74161 十六进制计数器进行分频,发现能实现1-9当中大部分的情况,唯独“4”不能出现,分析发现,74161进制达不到25分频。于是提出了以下两个解决方案

方案一:采用两片74161进行级联,构成16X16 进制分屏器,然后最高位都置为1,低五位通过5个按键进行组合。能够组合出25进制分频器,达到出现“4”的 效果。但仍旧发现依旧显示不出“4”的效果,失败。

方案二:在原先一片74161的情况下,输出信号通过一个D触发器,在次达到二分频的效果,但在纸上演示的时候,发现,“4”能够输出,但采用这个方案之后,“9”却不能输出了。方案二失败。

4.4 功能的测试方法、步骤、设备、记录的数据

1.四位动态显示器的测试方法:遮住显示屏,采用自己设计的组合的真值表进行组合,达到自己想要的输出字符。

2.对于计数器-锁存器-显示器部分,先输入个低频测试信号,例如1hz,观察显示器是否从0一直跳到9,并在低位由9变0的时候,高位进1成功。 3.接入单稳态触发器,让清零段和锁存端接入单稳态的输出信号,并输入500赫兹看能否正确稳定显示0500 4.接入量程控制,切换AB键组合看能否显示0.500 00.50 000.5,并对应的单位指示灯是否正确亮灭。

5.最后电路。通过一个外接的函数信号发生器,调节在四档量程内的频率,查看显示器的显示数值跟信号发生器的显示是否一致 附上基础要求以及拓展要求2的测试表格:

第五章 结束语

5.1对设计题目的结论性意见及进一步改进的意向说明

这次的设计题目其实很有综合性,能够用上我们在数电课上所学的大部分知识,但还有一些知识点也应该涉及到,例如:TTL门的应用,时序逻辑电路的运用,以及ROM的编程引用,这样能加强我们所学知识的联系,运用,实践的能力,并应该整体电路以及设计方案都让学生自己设计,这样我相信能够涌现出更多有新奇创意的设计方案,百花齐放。进一步改进:其实对于拓展要求1,我们可以构思一个时序逻辑电路,通过画状态转换图,状态转换表等一系列基础分析方法,构建出合理电路。对于拓展要求二,其实我们可以运用ROM的编程达到设计要求,这有待我们进一步的思考,学习和实践。

付上各个部分的电路图(详细电路图在第三章)集成该部分的芯片图: 1. div8

2.7seg(七段显示)

3.单稳态触发器

4.计数器(JSQ)

5.七段显示芯片

6.小数点,量程,单位指示灯控制芯片

7. 分频器芯片

8. 基础要求总电路

9. 扩展要求芯片(具体电路图在第四章)

10. 拓展要求电路总图

同基础电路图,只不过把基础总图当中的分频器(FENPINQI)芯片换成拓展芯片(kuozhan)

第8篇:EDA数字钟毕业设计

[ 标签:数字钟, eda ]

1、设计一个能显示1/10秒、秒、分、时的12小时数字钟。

2、时钟源使用频率为0.1Hz的连续脉冲。

3、设置两个按钮,一个供“开始”及“停止”用,一个供系统“复位”用。

4、时钟显示使用数码管显示。

基于VHDL的多功能数字钟的设计 EDA课程设计 资料类别课程(专业)EDA 适用年级大学文件格式word+DLS 文件大小1725K 上传时间2008-10-10 20:57:00 预览文件无(只能预览文件中的部分内容) 下载次数0内容简介:EDA课程设计 基于VHDL的多功能数字钟的设计,共11页,6086字,附源程序。摘要:介绍了利用VHDL硬件描述语言设计的多功能数字钟的思路和技巧。在MAX+PLUSII开发环境中编译和仿真了所设计的程序,并在可编程逻辑器件上下栽验证。仿真和验证结果表明,该设计方法切实可行。

3

EDA-时钟设计-基于Altera数字钟的实

现:EDA课程设计 基于VHDL的多功能数字钟的设计:EDA数字钟设计报告:资料包括: 论文( 12页2036字)图纸说明:中文摘要:数字钟学习的目的是掌握各类计数器及它们相连的设计方法;掌握多个数码管显示的原理与方法;掌握FPGA技术的层次化设计方法;掌握用VHDL语言的设计思想以及整个数字系统的设计。此数字钟设计具有时,分,秒计数显示功能,以24小时为计数循环;能实现清零,调节小时,分钟以及整点报时的功能。

第9篇:简单单片机数字频率计设计

课程设计报告

简易频率计的设计

电子科学XX学院

姓名:专业:通信工程学号:2013

2015年12月

摘要

1 本系统基于单片机来实现核心的频率计数功能,并能够实现测量中的量程自动切换。实现了对1Hz~1MHz的正弦波、方波以及脉宽不小于100s的脉冲信号频率测量,以及系统的自校功能。考虑到不同的测量方法对于不同频率测量带来的误差,对于频率为1Hz~1KHz的信号使用测频法,对于频率1KHz~1MHz的信号采用测周法,用单片机实现功能自动转换。放大整形电路使用了基本的晶体管放大模块,结合施密特触发器74HC132,使输出信号为TTL电平信号。此外本频率计实现了刷新时间1~10S连续可调,步进值为1S,最终单片机在7段数码管上显示测量的频率值。系统设计达到了各项目标要求。

关键词:频率测量;等精度测量;放大整形电路

目录

2

一、 引言 ................................................................................... 3

二、 系统方案 ........................................................................... 4

1. 方案选择 ........................................................................... 4 2. 方案确定 ........................................................................... 6

三、 理论分析与设计 ............................................................... 6

四、 电路与程序设计 ............................................................... 8

五、设计总结 .............................................................................. 9

六、 参考文献 ...........................................错误!未定义书签。

一、 引言

3 频率的测量在电子测量技术中是一项重要的内容。数字频率计是一种用十进制数字显示被测信号频率的数字测量仪器,其基本功能是测量正弦波、方波、脉冲等周期信号的频率并将其显示出来,用途十分广泛。频率计的设计需要结合模拟电路、数字电路来综合搭建一个简单的电子系统,要求功能完全、测量准确。对于方案的选择、实际电路的调试提出了一定挑战。

二、 系统方案

频率的测量方法可分为模拟测量法和数字测量法。

模拟测量法利用谐振特性进行测量,与标准频率信号比较形成的李萨如图形进行测量。但是其系统较为复杂,且谐振电路较为复杂,精度难以保证。

现在的频率测量方法都是基于数字测量,具有较高的精度。 基本的频率测量方法有测频法和测周法。

1. 方案选择

1) 测频法

频率的定义为单位时间内周期信号发生的次数。依据这一定义,要测量频率,即可以通过对一段时间Ts内信号发生的次数N计数得到。测量时间基准可以用单片机来产生,计数也可以通过单片机的运算功能实现。测频法的原理电路如图1所示。被测信号经整形变换得到周期为Tx的被测脉冲串,电平转换为TTL电平,送入单片机中。利用标准时钟信号分频得到的时间基准信号控制门控电路,在闸门开启的有效时间Ts内允许被测脉冲串通过闸门电路进行计数,则被测信号频率fx可以表示为:

fxN/Ts

分析考虑误差公式:

fxNfs fxNfs4 UsOTxtUaOTt

图1测频法原理图

不考虑单片机产生的时间基准的精度对频率测量的影响。根据这一测量原理,闸门信号开启和关闭这一时间段Ts内N的计数可能会带来误差N,其最大值为1。当误差减小时,闸门时间即基准频率自身的准确度对测量结果的影响是不可忽略的。考虑频率较低的情形(如1Hz时),某一闸门开启的时间段内经变换后与信号等频率的脉冲串没有经过闸门,则计数值少1。若要减少N/N带来误差,就必须增大N,在被测信号频率较低的情况下,则要求闸门开放时间很长(即在fs不变的条件下,要求分频系数大)。若被测频率很低,为达到一定的测量精度,就要求闸门开放时间大得难以忍受,即一次测量过程的时间很长,失去了使用意义。

例如若被测频率为10Hz,精度要求为0.01%,则最短闸门开放时间为

NNfx/f1/0.000110000

TsN/fx1000s

像这样的测量周期是根本不可能接受的,可见频率测量法不适用于低频信号的测量,在同样精度下10MHz的测量仅需要1ms,即对于高频信号适宜用此方法测量。

2) 测周法

测周法的基本结构与测频法是相同的,只是把晶体震荡产生的基准信号与被测信号的位置互换了一下。由此得

TN/MfNT/M

计数值N与被测信号的周期成正比,N反映了M个信号周期的平均值。利用周期测量的方法在一定信号频率范围内,通过调节分频系数M,可以较好地解决测量精度与实时性的矛盾。由上式可得

5 T/TN/NT/TN/TMfT/T

第二项误差取决于晶体稳定度,第一项为计数器的量化误差,故该项主要取决于N的大小。在平均周期测量法中,N值得大小与测量时间的长短成正比,可根据测量精度要求而定。假定N/N的允许误差为0.001%,则N105,在T选定测量时间为105T,若T2s,则测量时间为0.2s。对于不同范围的被测周期信号,可以通过调节分频系数M的大小,达到相近的测量精度,也就是有相近的测量时间,且不会太长。

当然,对于高频信号,周期法就需要很大分频系数M,增加了硬件及软件的复杂性,不宜采用。

2. 方案确定

以上两种种方法中,测频法和测周法分别表现出在低频和高频情况下的明显不足。因此我们考虑将两种方法相结合,利用分段法分别进行测频和测周。这就要求我们找到一个合适的临界频率fM,使得fxfM时用测周法测量,fxfM用测频法测量。这里我们选定fM1KHz。

图2系统总体设计框图

三、 理论分析与设计

根据题目要求,我们将简易频率要完成的设计目标分成以下几个功能模块:

1)输入信号的放大整形; 2)单片机对信号频率的测量; 3)单片机的自校功能; 4)测量方式的设定功能。

6 下面分别对这些功能模块进行理论分析:

1)输入信号的放大整形: 要求我们对输入信号类型为正弦波、方波、脉冲波三种信号(幅度变化范 围:0.5V~5V,频率变化范围:1Hz~1MHz,脉冲信号的脉冲宽度:大

于100μs)进行放大整形,得到与原信号同频率的方波信号或脉冲信号。同时进行TTL电平转换,以备下一步输入单片机的I/O口进行频率的测量。常用的放大整形模块有多种。这里我们的输入信号其幅度已经较大了,所以不用考虑对微小信号的放大,一般的放大电路足以满足要求。另外输入信号的频率变化范围也不是很高,现在常用的放大元件(集成运放,三极管等)都能达到要求。

2)单片机对信号频率的测量;

由之前的分析,不论是测频法还是测周法,单片机核心的功能就是一个计数器的功能,在闸门时间内对所测信号上升沿进行计数,经过计算,得到频率测量值,同时进行输出显示。这一过程用软件语言来编写,思路上是比较容易的。51单片机提供的两个定时器计数器T0,T1即可完成设计。具体来说,可以用用定时器T1产生时间基准信号,用计数器T0作为被测信号的计数器。由于被测信号已经被整形为符合TTL电平要求的脉冲,所以我们可以用中断处理的方法,让T0工作在下边沿中断计数的模式下。用T1来产生25ms的定时,用软件计数的方法实现闸门信号的产生。

显示键盘单片机输入信号中断口T0计数T1定时计算频率 图3单片机工作框图

3)单片机的自校功能

自校是在时基单元提供的闸门时间内,对时标信号(频率较高的标准频率信号)进行计数的一种功能,用以检查频率计的整机逻辑功能是否正常。由于这时的闸门信号和时标信号由同一个晶振的标准信号经过分频得到,这时的计数结果是已知的。通过测量频率,如果显示出来的频率和这一时标信号的频率是一致的,那么说明整机的功能达到了要求,可以工作。

另外,在自校状态下,由于闸门信号与时标信号是同一晶振产生的,同步关系始终成立,所以频率计不存在量化误差(1误差)。

题目要求单片机用1MHz进行自校,但是这里由于我们采用了两个频率段的测量,也就是采用了两个闸门时间,因此要用两个时标信号来进行自校。实现的原理如图X。

7

自校功能选定产生时标信号测量频率频率与时标信号相等否显示自校结果为ERROR是显示自校结果为OK结束 图4自校功能原理框图

3) 测量方式的设定功能

系统设计的要求之一是要满足显示方式为十进制数字显示,显示刷新时 间1~10秒连续可调。也就是说单片机输出显示的信号要满足显示功能可 以设定,刷新时间可以调控。这里我们在频率计系统设计中加入一个测量 方式的控制按键,按键后用键盘设置刷新时间值。这样的功能用单片机程 序来编写是比较容易实现的,在此不做过多讨论。

四、 电路与程序设计

(1)放大整形电路设计

VDDXFG15V1.0uF0R42k¦¸2C20VDD5VVDDR120k¦¸C1624VDDXSC1Ext Trig+_A+_+B_03718U3U141AVCC1B4A1Y4B2A4Y2B3A2Y3BGND3Y11.0uFVEEVEER620k¦¸OP37AH3-5VR220k¦¸0074LS00D5 图5放大整形电路图

8 由于输入信号最低幅值为0.5V,所以要对其先进行放大,再整形。 频率计的对输入阻抗的要求比较高。因此第一步信号输入要求输入阻抗很大以降低对信号源内阻的要求。故采用OP37运算放大器构成反向输入比例放大电路对信号进行放大。

后级采通过74LS00与非门对输入电平取非,进行放大信号的整形,得到一个可符合单片机测频要求电平的矩形波。 (2)单片机程序流程设计

初始化功能设定测量频率自检测周法测量显示自检结果测定频率范围在1Hz~1KHz否测频法测量是显示输出 图6单片机流程图

五、设计结果

经过方案的不断调整,我们最终按照设计方案进行了硬件电路的焊接、单片机程序的编写,分别对放大整形电路的性能、单片机测频率的功能实现进行了调试,两部分均能满足题目要求之后,进行了系统联调。

在单片机功能实现的调试过程中,我们用实验室的DDS信号源产生满足TTL电平要求的方波,输入进单片机的P3.4口进行程序部分的验证。调整方波的幅值和频率,可以看出,从0.5V到1V,1Hz到1MHz,单片机均可以实现频率测量,测量精度在高频区略有下降,但能达到百分之一以内的精度,我们认为是可以接受的。

刷新时间可调和自校这一功能由于我们时间上不太充足,虽然有所尝试但最

9 终没有实现。我们对刷新时间的理解就是改变的是闸门信号。因为程序默认是定1S的闸门宽度,而刷新时间可调就可以使得闸门宽度变宽,使得低频测量不会产生正负1的误差。我们的思路是把定时器T1软件计数的值设为可以由按键控制的变量,通过按键改变这一变量,使得闸门宽度得到改变。

在对放大整形电路的测试过程中,我们发现在1MHz的情况下,OP37运算放大器由于增益带宽积不足,电压放大倍数锐减为3——4倍左右,当输入电压为0.5V放大倍数时不能越过四与非74LS00芯片的判定阈值,无法实现整形的效果。于是,我们组根据关永峰老师的建议,采用对直流电源分压的方式抬高电平,如图端点4处,将此处的电压抬升了2.5V左右,达到74LS00的阈值(约3.2V),从而实现了对信号的整形的作用。

我们组采用了比较易于获取的器件,受限于器件的参数,我们采用了电容的滤波、隔直来保证波形的稳定,尽量减少噪声的影响。图中电容C1起隔直作用,以滤除信号中不稳定的直流分量,也便于下一步设定抬升电压的大小。电容C2滤除电源噪声。

最终验收测试的数据如下: 0.5——5V的输入下,

在正弦波输入的条件下,测频范围80Hz——1.1MHz。 在方波输入的条件下,测频范围1Hz——1.5MHz。

系统在正弦波作为输入信号时的低频部分(1~80Hz)无法实现准确测频,测出频率均在1kHz以上。用示波器观察放大整形信号可以看出,在低频部分的输出信号含有较多的噪声,输出的矩形波前出现一撮高频、高幅度的噪声,该段噪声无法通过电容滤波滤除,当频率提高时,该段噪声的幅度明显减小,我们组认为该噪声是器件内部噪声,是器件本身的局限性造成的,因此要提高频率计的性能就要改用性能更好的放大器和整形器件。

六、 设计总结

在本次实验的过程中,我们通过简单常见器件的匹配和调整,基本实现了题目要求的参数,较好的完成了实验指标。实验过程中存在的不足是由于时间和个人能力的限制,未能在软件部分完成自校功能,硬件方面也没有尝试先前设计报告中性能更好的高速运算放大器、高速施密特触发器,采用了比较简单易的常用器件。在此次实验的过程中,我们组分工明确,一人负责总体设计、一人软件编程、一人硬件焊接,因此在实验中效率很高,很快完成了设计、调试和验收过程。在最开始的方案设计中中,我们借鉴了一个庞大的整形放大电路,但在实现的过程遇到了较大的困难,一方面是对电路理解的欠缺,一方面是调试上存在极大的困难。在实验的过程中,我们深刻体会到了设计要基于题目要求和指标,不能好高骛远,要基于自己的理解,广泛查阅资料,进而不断改进完善。在本次实验中,我们组观察到了硬件和软件的相互匹配关系,即从放大整形电路到单片机编程计数测算频率,最后在数码管上观察到输出结果,在软硬件的相互配合中实现功能,让我们体会了软硬件协调配合的过程和通过电路实现具体功能的过程,同时,在电路调试过程中也学会很多调试方法,收获了很多电路方面的经验。

七、 参考文献

[1]刘菊荣库锡树主编《电子技术实验教程教程》,电子工业出版社,198~200. [2]黄虎,奚大顺主编《电子系统设计——专题篇》,电子工业出版社,32~33.

10

上一篇:信访挂职小结下一篇:财务自查工作报告