数字电路毛刺消除

2022-08-29 版权声明 我要投稿

第1篇:数字电路毛刺消除

数字电路课程设计——数字钟

四川工业科技学院 电子信息工程学院课程设计 专业名称:电子信息工程 课程名称:数字电路课程设计 课题名称:自动节能灯设计 设计人员:蔡志荷 指导教师:廖俊东 2018年1月10日

《模拟电子技术课程设计》任务书

一、课题名称:数字钟的设计

二、技术指标:

(1)掌握数字钟的设计、组装和调试方法。 (2)熟练使用proteus仿真软件。 (3)熟悉各元件的作用以及注意事项。

三、要求:

(1)设画出总体设计框图,以说明数字钟由哪些相对独立的

功能模块组成,标出各个模块之间互相联系。 (2)设计各个功能模块的电路图,加上原理说明。 (3)选择合适的元器件,设计、选择合适的输入信号和输出

方式,确保电路正确性。

指导教师:廖俊东 学生:蔡志荷

电子信息工程学院

2018年1月 10日

课程设计报告书评阅页

课题名称:数字钟的设计 班级:15级电子信息工程4班 姓名:蔡志荷

2018年1月 10日

指导教师评语:

考核成绩:指导教师签名:

20 年月

目录

摘要 .................................................................................................................................................. 1 第1章设计任务与要求 ................................................................................................................... 2

1.1 设计指标数字钟简介 ..................................................................................................... 2 1.2 具体要求 ......................................................................................................................... 2 1.3 设计要求 ......................................................................................................................... 3 第2章元件清单及主要器件介绍 ................................................................................................... 4

2.1 元件清单 ......................................................................................................................... 4 2.2 主要器件介绍 ................................................................................................................. 4

2.2.1 74LS90计数 ........................................................................................................ 4 2.2.2 74LS47 ................................................................................................................. 5 2.2.3 七段数码显示器 ................................................................................................. 7

第3章设计原理与电路 ................................................................................................................... 8

3.1 计时电路 ......................................................................................................................... 8

3.1.1 计秒、计分电路 ................................................................................................. 8 3.1.2 计时电路 ......................................................................................................... 10 3.2 校时电路 ....................................................................................................................... 11 3.2.1 报时锁存信号 ................................................................................................... 13 3.2.2 报时 ................................................................................................................... 13 第4章仿真结果及误差分析 ......................................................................................................... 15 4.1 实验结果 ....................................................................................................................... 15 4.2 实时分析 ....................................................................................................................... 15 第5章设计总结 ............................................................................................................................. 16 参考文献......................................................................................................................................... 17

四川工业科技学院数字电路课程设计

摘要

本次课程设计的主题是数字电子钟。干电路系统由秒信号发生器、“时、分、秒”计数器、显示器、整点报时电路组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,这里用多谐振荡器加分频器来实现。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计时器,可实现对一天24小时的累计。译码显示电路将“时”、“分”、“秒”计数器的输出状态送到七段显示译码器译码,通过七位LED七段显示器显示出来。整点报时电路时根据计时系统的输出状态产生一脉冲信号,然后去触发蜂鸣器实现报时。

数字电子时钟优先编码电路、译码电路将输入的信号在显示器上输出;用控制电路和调节开关对LED显示的时间进行调节,以上两部分组成主体电路。通过译码电路将秒脉冲产生的信号在报警电路上实现整点报时功能等,构成扩展电路。本次设计由震荡器、秒计数器、分计数器、时计数器、BCD-七段显示译码/驱动器、LED七段显示数码管设计了数字时钟电路,可以实现:计时、显示,时、分校时,整点报时等功能。

关键词:数字时钟,振荡器,计数器,报时电路

四川工业科技学院数字电路课程设计

第1章 设计任务与要求

1.1 设计指标数字钟简介

数字钟电路是一款经典的数字逻辑电路,它可以是一个简单的秒钟,也可以只计分和时,还可以计秒、分、时,分别为12进制或24进制,外加校时和整点报时电路。

数字钟已成为人们日常生活中必不可少的生活日用品。广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点。

因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点,电路装置十分小巧,安装使用也方便而受广大消费的喜爱。

1.2 具体要求

1、掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;

2、进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力;

3、提高电路布局,布线及检查和排除故障的能力。

四川工业科技学院数字电路课程设计

1.3设计要求

1、设计一个有“时”、“分”、“秒”(23小时59分59秒)显示,且有校时功能的电子钟。

2、用中小规模集成电路组成电子钟,并在实验箱上进行组装、调试

3、画出框图和逻辑电路图、写出设计、实验总结报告。

4、整点报时。在59分51秒时输出信号,音频持续10秒,在结束时刻为整点。

四川工业科技学院数字电路课程设计

第2章 元件清单及主要器件介绍

2.1 元件清单

1、74LS90(6个)

2、74LS47(6个)

3、74LS00(6个)

4、74LS20(6个)

5、74LS04(6个)

6、共阳七段数码显示器(6个)

7、蜂鸣器(1个)

8、快关若干,电阻若干

2.2 主要器件介绍

2.2.174LS90计数

本题目核心器件是计数器,常用的有同步十进制计数器74HC160以及异步

二、

五、十进制计数器74LS90.这里选用的是74LS90芯片。

74LS90的引脚图如图2-1表示。

图2-1 74LS90内部是由两部分电路组成的。一部分是由时钟CKA与一位触发器Q0组成的二进制计数器,可记一位二进制数;另外一部分是由时钟CKB与三个触发器Q

1、Q

2、Q3组成的五进制异步计数器,可记五个数000~111.如果把Q0和CKB连接起来,CKB从Q0取信号,外部时钟信号接到CKA上,那么由时钟CKA和Q0、Q

1、Q

2、Q3组成十进制计数器。

R0(1)和R0(2)是异步清零端,两个同时为高电平有效;R9(1)和R9(2)是置

四川工业科技学院数字电路课程设计

9端,两个同时为高电平时,Q3Q2Q1Q0=1001,;正常计数时,必须保证R0(1)和R0(2)中至少一个接低电平,R9(1)和R9(2)中至少一个接低电平。

74LS90的功能表如表2-1所示。

表2-1 2.2.274LS47 74LS47的引脚图如图2-3表示。

图2-3 译码为编码的逆过程。它将编码时赋予代码的含义“翻译”过来。实现译码的逻辑电路成为译码器。译码器输出与输入代码有唯一的对应关系。74LS47是输出低电平有效的七段字形译码器,它在这里与数码管配合使用。

表2-2列出了74LS47的真值表,表示出了它与数码管之间的关系。

四川工业科技学院数字电路课程设计

表2-2 H=高电平,L=低电平,×=不定 74LS47译码器原理如图2-4.

图2-4

74LS47是BCD-7段数码管译码器/驱动器, 74LS47的功能用于将BCD码转化成数码块中的数字,通过它解码, 可以直接把数字转换为数码管的显示数字, 从而简化了程序,节约了 单片机的IO开销。因此是一个非常好的芯片!但是由于目前从节约成本的角度考虑, 此类芯片已较少用, 大部份情况下都是用动态扫描数码管的形式来实现数码管显示。

四川工业科技学院数字电路课程设计

2.2.3 七段数码显示器

共阳极七段数码管引脚图如图2-5表示。

图2-5 LED数码管中的发光二极管共有两种连接方法:

1、共阴极接法:把发光二极管的阴极连在一起构成公共阴极。使用时公共阴极接地,这样阳极端输入高电平的段发光二极管就导通点亮,而输入低电平的则不点亮。实验中使用的LED显示器为共阴极接法。

2、共阳极接法:把发光二极管的阳极连在一起构成公共阳极。使用时公共阳极接+5V。这样阴极端输入低电平的段发光二极管就导通点亮,而输入高电平的则不点亮,而输入高电平的则不点亮。

注:课设中使用的是共阳极数码管。

四川工业科技学院数字电路课程设计

第3章 设计原理与电路

3.1 计时电路

计时电路共分三部分:计秒、计分、计时。其中计秒和记分都是60进制,而计时为24进制。难点在于三者之间进位信号的实现。

3.1.1 计秒、计分电路

1、个位向十位的进位实现。

用两片74LS90异步计数器接成一个一步的60进制计数器。所谓异步60进制计数器,即两片74LS90的时钟不一致。各位时钟为1Hz方波来计秒,十位计数器的时钟信号需要从个位计数器来提供。

进位信号的要求是在十个秒脉冲中只产生一个下降沿,且与第十秒的下降沿对齐。只能从个位计数器的输出端来提供,不可能从其输入端来找。而计数器的输出端只有Q0、Q

1、Q

2、Q3四个信号,要么是其中一个,要么是它们之间的逻辑运算结果。

把个位的四个输出波形画出来,如图3-1所示。

图3-1 由于74LS90是在时钟的下降沿到来时计数,所以Q3正好符合要求,在10秒之内只给出一个下降沿,且与第19秒的下降沿对齐。Q2虽然也只产生一个下降沿,但产生的时刻不对。这样,个位和十位之间的进位信号就找到了,把个位的Q3(11端)连接到十位的CKA(14端)上。

四川工业科技学院数字电路课程设计

2、六十进制的实现

当几秒到59时,希望回00.此时个位正好计满十个数,不用清零即可自动从9回0;十位应接成六进制,即从0~5循环计数。用异步清零法,当6出现的瞬间,即Q3Q2Q1Q0=0110时,同时给R0(1)和R0(2)高电平,使这个状态变成0000,由于6出现时间很短,被0取代。接线如图3-2所示。

图3-2 当十位计数到6时,输出0110,其中正好有两个高电平,把这两个高电平Q2和Q1分别接到74LS90的R0(1)和R0(2)端,即可实现清零。一旦清零,Q2和Q1都为0,不能再继续清零,恢复正常计数,直到下次再同时为1。

计秒电路的仿真图如图3-2所示,计分电路和计秒电路是完全一致的,只是周期为1S的时钟信号改成了周期为60秒即1分钟的时钟信号。

3、秒向分的进位信号的实现

积分电路的关键问题是找到秒向分的进位信号。当秒电路计到59秒时,产生一个高电平,在计到60秒时变成低电平,来一个下降沿送给计分电路做时钟。 计秒电路在计到59时的十位和个位的状态分别为0101和1001,把这四个1与起来即可,即十位的Q2和Q0,个位的Q3和Q0,与的结果作为进位信号。使用74LS20四入与非门串反相器构成与门,如图3-3所示。

四川工业科技学院数字电路课程设计

图3-3 计分电路与计秒电路一样,只是四输入与门产生的信号应标识为59分。

3.1.2 计时电路

用两片74LS90实现二十四进制计数器,首先把两片74LS90都接成十进制,并且两片之间连接成具有十的进位关系,即接成一百进制计数器,然后在计到24时,十位和个位同时清理。计到24时,十位的Q1=1,个位的Q2=1,应分别把这两个信号连接到双方芯片的R0(1)和R0(2)端。如个位的Q2接到两个74LS90的R0(1)清零端,十位的Q1接到两个74LS90的R0(2)清零端。

计时电路的个位时钟信号来自秒、分电路产生59分59秒两个信号相与的结果,如图3-4所示。

图3-4

四川工业科技学院数字电路课程设计

计分和计时电路可以先单独用秒脉冲调试,以节省时间。联调时,可把秒脉冲的频率加大。

图3-5是一个链接好的简单的没有校时和报时的数字时钟电路。

图3-5 图中为了把数显集中到一块,可以直接把时、分、秒的数码管拖动到一起。但为了仿真时使器件管件的逻辑状态显示不影响数显的效果,可以从主菜单中把逻辑显示去掉即可。

3.2 校时电路

接下来把校时电路加上,校时电路主要完成校分和校时。选择较分时,拨动一次开关,分自动加一;选择校时时,拨动一次开关,小时自动加一。校时校分应准确无误,能实现理想的时间校对。校时校分时应切断秒、分、时计数电路之间的进位连线。

如图3-6,红色线框内是校时电路,由去抖动电路和选择电路组成。

四川工业科技学院数字电路课程设计

图3-6 其中,计到59分的信号已有,如图3-6中所示。只需把它和计秒电路的十位中的Q2Q0相与作为开始报时的一个条件即可。见图3-7,U16:A和U10:D组成的与门输出即为报时开始信号。

图3-7

四川工业科技学院数字电路课程设计

3.2.1 报时锁存信号

用秒个位的计数器输出进行四高一低的报时锁存信号。现在来分析一下50~59秒之间秒个位的状态。

秒个位:Q3 Q2 Q1 Q0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1

结合题目要求,通过这些状态的观察发现,秒个位的Q3’和Q0逻辑与后,正好在秒个位计到

1、

3、

5、7时产生高电平,0、

2、

4、6时产生低电平,可作低四声报时的锁存信号;秒个位的Q3和Q0逻辑与后,正好在秒个位为9时产生高电平,可做高音的报时锁存信号;这样就产生了两个报时锁存信号。

3.2.2 报时

把上述分析所得到的的报时开始信号分别和两个报时锁存信号相与,产生两路报时锁存信号,如图3-7,上面一路为高音报时锁存,下面一路为低音报时锁存。图中左面三个与非门实现的是与或逻辑,前面已介绍。

上下两路报时锁存信号分别与1kHz和500Hz的音频信号(20Hz~30kHz)相与或来驱动数字喇叭,实现整点报时功能。这里喇叭使用元件SOUNDER,它接收数字信号。

实验时,把59分50秒这个报时开始信号直接用高电平取代,这样比较省时。另外实际连接电路时,可用555定时器产生一个1kHz的方波,再经D触发器二

四川工业科技学院数字电路课程设计

分屏得到500Hz的方波信号。计时电路的1Hz方波也可由555定时器产生,但由于标准电阻和电容值的选择会带来一些积累误差,也可选用其他更精确的振荡电路来实现。

四川工业科技学院数字电路课程设计

第4章 仿真结果及误差分析

4.1 实验结果

成功设计一个有“时”、“分”、“秒”(23小时59分59秒)显示,有校时功能的电子钟。能够实现整点报时。在59分51秒时输出信号,音频持续10秒,在结束时刻为整点。且能够正常仿真。

如图4-1是完整的数字钟电路图。

图4-1 4.2 实时分析

本次课程设计电路完全按照仿真图所连的,在测试时,当开始进行时校时时,没有出现问题,但当进行到分校时时,发现计数电路的秒电路开始乱跳出错。因此,电路一定是有地方出错了,在反复对照后,发现是因为在接入校正电路时忘了把秒十位和分个位之间的连线拿掉而造成的,因此,在接线时一定要注意把不要的多余的线拿掉。

仿真时用的脉冲是用的软件里的时钟脉冲,没有使用555定时器,可能会造成一定的误差。

四川工业科技学院数字电路课程设计

第5章 设计总结

通过这次数字电子钟的课程设计,我们把学到的东西与实践相结合,深化了我对数字电路设计和模拟电路的设计,让我在设计的实践中获得了更多的知识,同时锻炼了我的动手能力。在这过程中对我们学的知识了更进一步的理解,而且更进一步地熟悉了芯片的结构及掌握了各芯片的工作原理和其具体的使用方法,也锻炼了自己独立思考问题的能力和通过查看相关资料来解决问题的习惯。

虽然这只是一次学期末的课程设计,但通过这次课程设计我们了解了课设计的一般步骤、方法和设计中应注意的一些问题。我觉得这次设计是很有重要意义的,它锻炼了同学们对待问题时的态度和处理事情的能力,了解了各个芯片能够完成什么样的功能,使用芯片时应该注意那些要点,同一个电路可以用那些芯片实现,各个芯片实现同一个功能的区别。

总之,这次课程设计让我学到了好多东西,这种课程设计对一个大学生是非常重要的。在此我要感谢我同组的搭档蔡西!然后,非常感谢廖老师的耐心指导!

四川工业科技学院数字电路课程设计

参考文献

【1】张存礼、韩爱娟主编. 电子技术综合实训.北京师范大学出版社.2005.8。 【2】朱清慧主编.Proteus教程.清华大学出版社.2011.6。 【3】阎石主编.数字电子技术基础. 高等教育出版社.2016.4。

第2篇:数字电路课程设计 数字钟

摘 要

数字钟实际上是一个对标准频率(1Hz)进行计数的计数电路。振荡器产生的时钟信号经过分频器形成秒脉冲信号,秒脉冲信号输入计数器进行计数,并把累计结果以“时”、“分”、“秒”的数字显示出来。秒计数器电路计满60后触发分计数器电路,分计数器电路计满60后触发时计数器电路,当计满24小时后又开始下一轮的循环计数。一般由振荡器、分频器、计数器、译码器、数码显示器等几部分组成。

振荡电路:主要用来产生时间标准信号,因为时钟的精度主要取决于时间标准信号的频率及稳定度,所以采用石英晶体振荡器。

分频器:因为振荡器产生的标准信号频率很高,要是要得到“秒”信号,需一定级数的分频器进行分频。

计数器:有了“秒”信号,则可以根据60秒为1分,24小时为1天的进制,分别设定“时”、“分”、“秒”的计数器,分别为60进制,60进制,24进制计数器,并输出一分,一小时,一天的进位信号。

译码显示:将“时”“分”“秒”显示出来。将计数器输入状态,输入到译码器,产生驱动数码显示器信号,呈现出对应的进位数字字型。

由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路可以对分和时进行校时。另外,计时过程要具有报时功能,当时间到达整点前10秒开始,蜂鸣器1秒响1秒停地响5次。

为了使数字钟使用方便,在设计上使用了一个变压器和一个整流桥来实现数字钟电能的输入,使得可以方便地直接插入220V的交流电就可以正常地使用了。 关键词 数字钟 振荡 计数 校正 报时

目 录

1 设计目的...........................................................4 2 设计任务...........................................................4 3数字电子钟的组成和工作原理..........................................4 3.1数字钟的构成......................................................4 3.2原理分析..........................................................4 3.3数字点钟的基本逻辑功能框图........................................5 4.数字钟的电路设计.................................................. 5 4.1 秒信号发生器的设计............................................... 6 4.2时间计数电路的设计................................................8 4.3译码显示电路..................................................... 10 4.4正点报时电路的设计................................................12 4.5校时电路的设计....................................................13 5设计心得 .................................................... . . . .14 6参考文献.............................................................15

1设计目的

在学完了《数字电子技术基础》课程的基本理论,基本知识后,能够综合运用所学理论知识、拓宽知识面,系统地进行电子电路的工程实践训练,锻炼动手能力,培养工程师的基本技能,提高分析问题和解决问题的能力。

2设计任务

2.1设计指标

1.时间计数电路采用24进制,从00开始到23后再回到00; 2.各用2位数码管显示时、分、秒;

3.具有手动校时、校分功能,可以分别对时及分进行单独校时,使其校正到标准时间; 4.计时过程具有报时功能,当时间到达整点前10秒开始,蜂鸣器1秒响1秒停地响5次。 5.为了保证计时的稳定及准确,须由晶体振荡器提供时间基准信号。 2.2设计要求

根据选定方案确定实现设计要求的基本电路和扩展电路,画出电路原理图。

3数字电子钟的组成和工作原理

3.1数字钟的构成

数字钟一般由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等部分组成,这些都是数字电路中应用最广的基本电路。 3.2原理分析

数字钟实际上是一个对标准频率(1Hz)进行计数的计数电路。振荡器产生的时钟信号经过分频器形成秒脉冲信号,秒脉冲信号输入计数器进行计数,并把累计结果以“时”、“分”、“秒”的数字显示出来。秒计数器电路计满60后触发分计数器电路,分计数器电路计满60后触发时计数器电路,当计满24小时后又开始下一轮的循环计数。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路可以对分和时进行校时。另外,计时过程要具有报时功能,当时间到达整点前10秒开始,蜂鸣器1秒响1秒停地响5次。 3.3数字点钟的基本逻辑功能框图

图1 数字钟的基本逻辑框图

4数字钟的电路设计

下面将介绍设计电路具体方案:其中包括电源电路的设计、秒信号发生器的设计、时间计数电路的设计、译码驱动显示电路的设计、正点报时电路的设计、校时电路的设计几个部分。

4.1 秒信号发生器的设计

晶体振荡分频电路石英晶体振荡电路 1.采用频率fs=32768Hz的石英晶体。

D

1、D2是反相器,D1用于振荡,D2用于缓冲整形。Rf为反馈电阻(10~100MΩ),反馈电阻的作用是为CMOS反相器提供偏置,使其工作在放大状态。C1是频率微调电容,改变C1可对振荡器频率作微量调整,C1一般取5~35pF。C2是温度特性校正用的电容,一般取20~405pF,电容C

1、C2与晶体共同构成Ⅱ型网络,完成对振荡器频率的控制,并提供必要的1800相移,最后输出fs=32768Hz。

图4 石英晶体振荡电路

2.多级分频电路

将32 768Hz脉冲信号输入到CD4060(内部结构如图4-4)组成的脉冲振荡的14位二进制计数器,所以从最后一级Q14输出的脉冲信号频率为:32768/214 = 32768/16384 = 2Hz 如图6。再经过二次分频,得到1Hz的标准信号脉冲,即秒脉冲如图7。

图5 CD4060内部结构

图6 脉冲分频电路

图7 秒信号原理图

图8 晶体振荡及分频电路

4.2时间计数电路的设计

秒信号经秒计数器、分计数器、时计数器之后,分别得到“秒”个位、十位、“分”个位、十位以及“时”个位、十位的计时输出信号,然后送至译码显示电路,以便实现用数字显示时、分、秒的要求。“秒”和“分”计数器应为六十进制,而“时”计数器应为二十四进制。采用10进制计数器74LS162来实现时间计数单元的计数功能,其为双2-5-10异步计数器,并且每一计数器均有异步清零端(高电平有效)。 4.2.1“分”、“秒”六十进制计数器

选用两块74LS162采用异步清零的方法完成60进制。以“秒”计数为例:计秒时,将秒个位计数单元的QA与CP(下降沿有效)相连,将74LS162连接成10进制计数器,BCPA(下降沿有效)与1HZ秒输入信号相连,QD可作为向上的进位信号与十位计数单元的CPA相连。秒十位计数单元为6进制计数器,需要进制转换。将10进制计数器转换为6(0110)进制计数器,当十位计数器计到QD QC QB QA为0110时,同时对秒的个位和十位进行清0,另外QC可作为向上的进位信号与分个位的计数单元的CPA相连。其具体连接图如图9CPA相连,其具体连接图如图9。 7

图9 六十进制计数器

4.2.2二十四进制计数器

同样可以选用两块74LS162采用异步清零的方法完成24进制计数 如图10。

图10二十四进制计数器

4.3译码显示电路

译码显示电路是将计数器输出的8421 BCD码译成数码管显示所需要的高低电平,我们采用阴极七段数码管,引脚如图11。

其则译码电路就应选接与它配套的共阴极七段数码驱动器。译码显示电路可采用CD4511BC-7段译码驱动器,其芯片引脚如图12。译码器A、B、C、D与十进制计数器的四个输出端相连接,a、b、c、d、e、f、g即为驱动七段数码显示器的信号。根据A、B、C、D所得的计数信号,数码管显示的相对应的字型。其具体电路图如图13。

图11 阴极七段数码管

图12 芯片CD4511BC-7段译码驱动器引脚

图13 译码显示电路

4.4正点报时电路的设计

要求当时间到达整点前10秒开始,蜂鸣器1秒响1秒停地响5次。即当时间达到xx时59分50秒时蜂鸣器开始响第一次,并持续一秒钟,然后停鸣一秒,这样响五次。在59分50秒到59分59秒之间,只有秒的个位计数,分的十位QD QC QB QA输出0101,个位QD QC QB QA 输出1001,秒的十位QD QC QB QA 输出0101均不变,而秒的个位QA计数过程中输出在0和1之间转。所以可以利用与非门的相与功能,把分十位的QC 、QA ,分个位的QD、QA,秒十位的QC、QA 和秒个位的QA相“与非”作为控制信号控制与非门的开断,从而控制蜂鸣器的响和停。如图14。

图14 整点报时电路

4.5校时电路的设计

时钟出现误差时,需校准。校对时间总是在标准时间到来之前进行,分四个步骤:首先把小时计数器置到所需的数字;然后再将分计数器置到所需数字;在此同时或之后,将秒计数器在零时停计数,处于等待启动;当选定的标准时刻到达的瞬间,按起动按钮,电路则从所预置时间开始计数。由此可知,校时电路应具有预置小时,预置分、等待启动、计时四个阶段,因此,我们设计的校时电路,方便、可靠地实现这四个阶段所要求的功能。。

图15数字电子钟的计数校正电路

5设计心得

本次实验培养了我的团队合作精神,两人分工明确,我们一起处理实验过程中遇到的难题,在每连接好一个模块后,我们认真地检查电路,这样大大减少了实验出错的机率,为最后成功完成实验节省了不少的时间。

本次数字钟电路设计实验还做到理论联系实际,刚刚学过了数电这门课程,还没完全弄懂某些门电路的原理和用途,而此次课程设计恰恰提供了一个好机会,让我们从实践中加深了对所学知识的理解。

6 参考文献

1. 郝国法等主编 电子技术实验 北京:冶金工业出版社,2006 2.华中科技大学电子技术课程组编 康光华主编 电子技术基础 数字部分(第五版) 北京 :高等教育出版社,2005 3.彭容修主编.数字电子技术基础. 武汉:华中理工大学出版社,2000 4.李哲英主编.电子技术及其应用基础(数字部分). 北京:高等教育出版社,2003 5.浙江大学电工电子基础教学中心电子学组编,郑家龙、王小海、章安元主编.集成电子技术基础教程.北京:高等教育出版社,2002

第3篇:数字电路课程设计数字时钟实现

应用科技学院

《电子技术课程设计报告》

设计题目:数字钟的设计与制作

专业班级:13级《物联网工程》2班 姓名:白雪 王贞 张莹 学号:068 108 131 指导老师:刘烨

时间:2015年5月15日~ 2015年 5 月30日 地点:四教4414实验室

海南大学儋州校区应用科技学院

摘要:

数字时钟是一种用数字电路技术实现秒﹑分﹑时的计时装置,与传统的机械钟相比,它具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因而得到了广泛的应用。小到人们的日常生活中的电子手表,大到车站﹑机场等公共场所的大型数显电子钟。数字时钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

本课程设计要用通过简单的逻辑芯片实现数字时钟。要点在于用555芯片连接输出为一秒的多谐振荡器用于时钟的秒脉冲,用14位二进制计数器CC4060芯片、7双BCD同步加计数器CD4518芯片、十进制加计数器/7段译码器CD4033芯片等连接成60和12进制的计数器,再通过七段数码管显示,构成了简单数字时钟。 关键词:数字时钟;555芯片;计数器;数码管

2

海南大学儋州校区应用科技学院

1设计目的 ................................................................................................................................ 4 1.1设计指标 ......................................................................................................................... 4 2课程设计任务及要求 ............................................................................................................ 4 2.1 设计任务 ........................................................................................................................ 4 2.2 设计要求 ........................................................................................................................ 4 3系统设计 ................................................................................................................................ 4 3.1 设计思路 ........................................................................................................................ 4 3.2 系统设计 ........................................................................................................................ 5 3.2.1 原理图及说明 ....................................................................................................... 5 3.2.2 具体设计 ................................................................................................................. 6

3.2.2.1.小时计时电路 ............................................................................................... 6 3.2.2.2.分钟计时电路 ............................................................................................... 6 3.2.2.3.秒钟计时电路 ............................................................................................... 6 3.2.2.4.手动时间校准电路的设计 ........................................................................... 6 3.2.2.5.光敏电阻的设计 ........................................................................................... 6

4 主要元器件的介绍 ............................................................................................................... 7 4.1 40161------4位二进制同步计数器(有预置端,异步清除) ..................................... 7 4.2 CD40106 .......................................................................................................................... 7 4.3 CD4009 ............................................................................................................................ 8 5 电路板的安装与测试 ........................................................................................................... 8

3

海南大学儋州校区应用科技学院

1设计目的

数字电子钟是一种用数字显示秒﹑分﹑时的记时装置,与传统的机械钟相比,他具有走时准确﹑显示直观﹑无机械传动装置等优点,因而得到了广泛的应用:小到人们的日常生活中的电子手表,大到车站﹑机场等公共场所的大型数显电子钟。

我们此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。且由于数字钟包括组合逻辑电路和时叙电路。通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。

1.1设计指标

1. 时间以12小时为一个周期; 2. 显示时、分、秒; 3. 具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;

2课程设计任务及要求

2.1 设计任务

1、设计一个有“时”,“分”,“秒”(11小时59分59秒)显示且有校时功能的数字时钟钟;

2、 用中小规模集成电路组成数字时钟。

2.2 设计要求

1.用555定时器设计一个秒钟脉冲发生器,输入1HZ的时钟;(对已有1kHz频率时钟脉冲进行分频);

2.能显示时、分、秒,12小时制; 3. 设计晶体震荡电路来输入时钟脉冲;

4.用双BCD同步加计数器CD4518芯片设计一个分秒钟计数器,即六十进制计数器.;

5.用十进制加计数器/7段译码器CD4033芯片设计一个12小时计数器, 6. 译码显示电路显示时间。

3系统设计

3.1 设计思路

数字电子钟由信号发生器、“时、分、秒”计数器、LED数码管、校时电路、整点报时电路等组成。工作原理为时钟源用以产生稳定的脉冲信号,作为数字种的时间基准,要求震荡频率为1HZ,为标准秒脉冲。将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每 累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用12进制计数器,可以实现12小时的累计。LED数码管将“时、分、秒”计数器的输出状态显示。校时电路是来对“时、分、秒”显示数字进行校对调整。

4

海南大学儋州校区应用科技学院

本设计使用芯片数最少、计时准确、动态显示的节电工作方式(耗电量仅为静态显示模式的1.8%)、调试方便、时间校准方便。电路中的振荡器XT为目前多数石英晶体电子表中使用的频率为215=32768HZ的石英晶体,经IC(2CC4060)组成的14级2分频和IC3A(CD4518)组成的一级2分频后可得到1HZ的“秒”脉冲信号。用6个40161分别控制6个数码管,用逻辑门电路选择各个数码管的最大数字,比如说输出9, 就要对应的输入二进制数1001,输出3对应0011,1对应 0001。逻辑门电路选择好最大数,就接入下一个40161的 CLK 端,来进位。MR端要接入与非门和40106之间,读取最大数。我们设计的是实现0~9,0~5,0~1,0~2的进位方式。从秒开始,0~59,分0~59,时0~11. 3.2 系统设计

3.2.1 原理图及说明

时LED数码管分LED 数码管秒LED数码管时计数(十二进制)分计数(六十进制)秒计数(六十进制)时校准分校准晶体振荡器分频器 (1)电路原理框图

(2)电路原理图

5

海南大学儋州校区应用科技学院

用555电路构成的1KHz多谐振荡器,调节电阻R3可以改变输出信号频率。74LS160是二,五,十进制同步加法器,用三片74LS90构成三级十分频器,将1KHz矩形波分频得到1Hz基准秒计时信号。由于74LS160是十进制计数器,分别将个位接成十进制计数器,十位接成六进制计数器,分别将个位的RCO输出端接十位的9脚端,就构成60进制计数器,用两个相同的60进制计数器分别做作为秒,分计时,并在个位和十位输出端接上数码显示管显示小时计数器直接采用整体反馈清零法构成24进制计数器。 工作原理:振荡电路产生的1KHZ脉冲信号经三级十分频电路分频后产生的1HZ脉冲信号输入74LS90N连成的60进制秒计数器,再由秒计数器每60秒进位输出给60进制分钟计数器,分钟计数器满60后产生进位信号输入给24进制小时计数器,从而实现12小时制电子钟的功能。

3.2.2 具体设计

3.2.2.1.小时计时电路

小时计时电路由两块4033B和4081芯片7段译码器组成12进制计数电路。该电路译码器能识别数字00到11的计数,当接收到从“分”传来信号到芯片4033的第1个管脚时,使得在小时的计数模块进行加1,每接收到一次信号,即进行一次计数,计一次为一小时,同时将信号反馈回“分”,使得将计数清零。即可可以将小时从“00”到“11”后,在继续计时时,计数器计数将会被置回“00”。使整个计数器在小时的计数模块成为从“00”到“11”到清零循环回“00”到“11”这样的12进制的12个稳定状态的计时方法。 3.2.2.2.分钟计时电路

与小时计时模块相比,分钟计时模块相对简单些。它的电路原理是由于两块4033B芯片组成的60进制的分钟计数方式,该译码器电路能识别信号59,整个计数计时方式是从“00”到“01”“02”.....“59”在到“00”的共60个稳定状态的自动连续循环模式。 3.2.2.3.秒钟计时电路

秒钟的计数又有些相同与不同。它同样是由4033B两块芯片进行构成60进制计数。该译码器识别信号至59,然后清零循环计数。计数方式与分钟计数方式一样。但除了4033B芯片外,外加了4060和4518两块芯片。外加了两块芯片使得在秒钟计数模块有了自动的计数方法。而不是通过外来校准不停的进行调整计数。

3.2.2.4.手动时间校准电路的设计

S1和S2分别为“小时”与“分”的手动校准电路。S1按动一次,在小时计时部位计数加1,S2按动一次,在分钟计时计数数码管显示上显示加1,。滤波电路C

3、R10和C

4、R13分别用来吸收S1和S2的动作产生的电压抖动。二极管D

1、D2分别为“小时”与“分”校准电路与相邻下一级计数器“清零”端R之间的单向隔离文件。R

11、R12为手动校准电路的限流范围。 3.2.2.5.光敏电阻的设计

光敏电阻R1~R6分别为数码管DS1~DS6夜间工作在节电模式时的亮度自动控制电路。光敏电阻可选用MG41-22或MG45-

12、或560

6、6106型(亮电阻≤2KΩ,暗电阻≥900KΩ)。每只数码管的公共端第3(8)脚通过一光敏电阻串联晶体开关管9013接地。当夜晚室内光线较暗时,数码管自动降低亮度。数码管DS1~DS6采用超高亮度的数码管5011型,这种LED数码管耗电为普通数码管的十分之一,每个段码的驱动电流仅为1mA,就可以发出普通数码管20mA

6

海南大学儋州校区应用科技学院

工作电流时相同的亮度。当其工作电流达20mA时,发出光亮足以保证在室外阳光下正常显示。该控制电路可使数码管显示的供电电流降低到原来的1/30,即为10~15 mA的水平。开关管Q1~Q3选用9013(40V、0.5A、0.625W、低频)可满足控制两个数码管阴极电流通断的要求。本设计还充分利用芯片CD4033的“零”数字消隐功能,即当十位上海数字为零时,该数码管不亮。例如,当时间为9时8分5秒,不是显示“09”时“08”分“05”秒,而是显示“9”时“8”分“5”秒,该设计方案可使数码管显示的供电电流降低到原来的1.8%,即为5~9 mA的水平,可大大降低电源的能耗。

4 主要元器件的介绍

4.1 40161------4位二进制同步计数器(有预置端,异步清除)

40161是4位可编程计数器,复位采用异步方式,当CLEAR为低电平时,使四个输出端均置为低电平,而与CLOCK、/LOAD或PE、TE输入的状态无关,/LOAD为低电平时,计数器无效,使输出端在下一时钟脉冲与设置的数据一致,并与PE、TE输入端的状态无关。

N位同步级联计数器可由超前进位电路实现,不需要外加控制,此功能由两个计数控制输入端和进位输出端完成。PE、TE输入端均为高电平时,计数有效,当计数超过“15”时,进位输出端CARRY OUT(CO)即产生一正向输出脉冲,其脉冲宽度约等于Q1输出正向宽度,此正向溢出进位脉冲可使下一级联电路有效,时钟无论为高电平或低电平,均可实现PE或TE输出的逻辑转换。

4.2 CD40106 CD40106由六个施密特触发器电路组成。每个电路均为在两输入端具有施密特触发器功能的反相器。触发器在信号的上升和下降沿的不同点开、关。上升电压(V T+)和下降电压(V T-)之差定义为滞后电压。它的2 4 6 8 10 12引脚是数据输出端,1 3 5 9 11 13是数据输入端,14是电源正,7接地。

CD4016引脚图

7

海南大学儋州校区应用科技学院

4.3 CD4009 CD4009是十六进制的CMOS缓冲器/变换器

5 电路板的安装与测试

为了方便检测,电路有6位数码管安装在CD4033的上方,分别显示出时“00~11”分“00~59”秒“00~59”的时钟显示。根据电路的设计特点,在安装过程中,基于测试同时进行。在安装测试顺序是①1HZ脉冲信号的产生电路,运用逻辑笔测试芯片IC34的Q0端的“1HZ”的脉冲输出信号;②“秒钟”计数/译码/显示电路,显示0秒钟~59秒钟,运用逻辑笔测试芯片IC5A第3期的“满60秒进一”的进位脉冲输出信号;③“分”钟计数/译码/显示电路,显示0分钟~59分钟,运用逻辑笔测试芯片IC58第四脚的“满60进一”的进位脉冲输出信号;④“小时”计数/译码/显示电路,显示0小时~12小时,运用逻辑笔测试芯片IC5C第10脚的“清零”脉冲输出信号;⑤分别按动开关S

1、S2,测试时间校准电路的功能及可靠性;⑥用厚纸片遮蔽敏光电阻的上方,观测数码管亮度显示接受控制前后的响应情况。6个单元电路组装合格后,电路可以显示12小时内的任一时间。时间校准电路组装完成后,可以校准当前时间,并验证一昼夜

8

海南大学儋州校区应用科技学院

24小时的计时误差是否在一定范围内;然后在一定电源内测量整机最大工作电流是否也在一定范围之间。

9

海南大学儋州校区应用科技学院

10

海南大学儋州校区应用科技学院

11

海南大学儋州校区应用科技学院

12

第4篇:数字电路学习感想

姓名:xxx 学号:xxxxxxxxxxxx 现在已经是第十二周了,离数字电路课程结课也只剩下一周时间了。时间真的过的好快。回想过去,感觉昨天才踏进西大校园,而下周就要上完,紧接着就是不知道什么时候就来的考试,哎!感觉挺纠结的,只是还没学会,马上就要考试了。

接下来就简单谈谈自己对数字电路课程的感受吧。 第一章, 数制与码制

只是一些常用的基础知识。其实好多码制我们也不用去可以的去记,只用知道它是怎么回事就行,用到的时候查一下就行了。主要就熟练地掌握反码补码的求法以及

2、

10、16进制数之间的快速转化就差不多了。

第二章, 逻辑代数基础

我觉得代入、反演、对偶定理都挺重要的,还有就是逻辑表达式的常用化简公式以及卡诺图法化简逻辑函数。个人觉得卡诺图是最好用的(可能是老记不住那几个公式吧),所以一定要熟练掌握卡诺图的使用方法。总之第二章是基础,是以后几章学习的工具。

第三章, 第四章, 主要介绍了MOS管

这里就不做详尽的探讨了。

组合逻辑电路

到这一章,我们才真正的接触到电路,以前的都是铺垫罢了。主要掌握几种常见的组合逻辑电路:编码器、译码器、数据选择器、加法器、数值比较器等。这些都是常见常用的电路,所以如果我们现在没记牢,下去一定要多看几遍,争取把这几个电路弄懂弄通,不然感觉以后更深层次的电路设计我们就更无从下手了。

第五章, 触发器

这章也是重点,我们要在认识SR、JK、T、D触发器的基础上熟练掌握各种电路结构触发器所具有的动作特点,以及触发器的逻辑功能分类和描述方法。

第六章, 时序逻辑电路

时序是区别组合的。这里对CLOCK的引入又加深的它的难度,所以我们要特别注意,看清电路的出发方式。设计电路时更要选择合适的触发器。差不多就这么多了。

第5篇:数字电路实验报告

组合逻辑电路的设计与调试

一、 实验目的

1、掌握用门电路设计组合逻辑电路的方法。

2、掌握组合逻辑电路的调试方法。

二、 实验器材

数字电路实验箱一台、74LS00若干

三、 实验内容

1、用与非门实现散人多数表决器电路

(1) 真值表

(2) 表达式化简及变形

(3) 逻辑图

2、用与非门实现YAB

(1)真值表

(2)表达式化简及变形

(3)逻辑图

译码器应用电路的设计与测试

一、实验目的

1、熟悉集成译码器的性能和使用方法

2、学会使用二进制译码器实现组合逻辑电路的方法

二、实验器材

数字电路实验箱一台、74LS138一片、74LS20一片

三、实验内容

1、用74LS138及74LS20实现三人多数表决器电路

(1)真值表

(2)表达式转换

(3)逻辑图

2、用74LS138及74LS20实现YAB

(1)表达式转换

(2)逻辑图

数据选择器的设计与调试

一、实验目的

1、熟悉数据选择器的性能及使用方法

2、学会使用数据选择器进行逻辑设计的方法

二、实验器材

数字电路实验箱一台、74LS151一片

三、实验内容

1、用74LS151实现三人多数表决器

(1)真值表

(2)比较卡诺图求出Ai及Di

(3)逻辑图

2、用74LS151实现YABBCAC

(1)比较卡诺图求出Ai及Di

(2)逻辑图

N进制计数器的设计与测试

一、实验目的

1、掌握集成技术器的测试方法

2、学会利用集成技术器构成N进制计数器

二、实验器材

数字电路实验箱一台、74LS161一片、74LS20一片

三、实验内容

1、用74LS161设计七进制计数器。

方法一:清零(0-6)

(1) 逻辑图

(2) 状态转换图

方法二:置数(1-7)

(1)逻辑图

(2)状态转换图

方法三:置数(9-15)(CO做反馈)

(1)逻辑图

(2)状态转换图

第6篇:数字逻辑电路学习总结

号:

名:

院:

业:

数字逻辑电路学习总结

经过一学期的学习,我对数字逻辑电路这门课程总结如下: 一:数字逻辑电路绪论及基础

1.数字信号与模拟信号的区别(数值和时间的连续性与不连续性) 2.数字电路特点:电路结构简单,便于集成化;工作可靠,抗干扰能力强;信息便于长期保存和加密;产品系列全,通用性强,成本低;可进行数字运算和逻辑运算。

3.数制转换(二进制、八进制、十六进制、8421BCD码)

十~二:右→左,每三位构成一位八进制,不够补0

二~八:右←左,每一位构成三位二进制

八~二:右→左,每四位构成一位十六进制,不够补0

十六~二:右 →左,每一位构成一位二进制

十~8421BCD:每一位组成8421BCD码 4.二进制运算(0+0=0,0+1=1,1+1=1 0)

5.基本逻辑门(与门、或门、非门、与非门、或非门、异或、同或)

与门:F=ABC

或门:F=A+B+C

非门:F|

与非门:(AB)| 或非门:F=(A+B)| 异或门:F=A|B+AB|=A(+)B 同或门:F=AB+A|B|=A(*)B 6.逻辑代数基本公式及定理

7.最大项与最小项(为互补关系) 8.逻辑函数化简(代数法和卡诺图法) 卡诺图包围圈尽量大,个数尽量小,要全部包围,包含2^n个方格

二:组合逻辑电路

1.组合逻辑电路的分析与设计

任一时刻的输出只取决于同一时刻输入状态的组合,而与电路原有的状态无关的电路

分析:写出表达式,列出真值表,根据化简函数式说明逻辑功能 设计:列出真值表,写出逻辑函数,化简,画逻辑图 2.半加器与全加器的区别(考虑是否进位)

3.编码器(二~十进制编码器P120、优先编码器P134) 8-3优先编码器

10-4优先译码器

4.译码器(二进制编码器P140、二至十进制译码器P143) 3-8译码器

5.数据选择器

4选1数据选择器 8选1数据选择权

三:触发器

1. 触发器 逻辑功能可分:

RS触发器 D触发器 JK触发器 T触发器 T’触发器 触发方式可分:

电平触发器 边沿触发器 主从触发器 电路结构可分:

基本RS触发器 同步触发器 维持阻塞触发器 主从触发器 边沿触发器 2. 触发器的转换

公式法和图形法(了解触发器的逻辑符号,对比表达式的特性,画出逻辑图)

说明:真值表

表达式

约束条件

CP脉冲有效区

实现的功能

各触发器的转换波形图的画法 四:时序逻辑电路

1.同步时序逻辑电路的分析与设计

分析:确定电路组成→写出输出函数和激励函数的表达式→电路的次态方程→作状态表和状态图→做出波形图→功能描述→检查电路是否能自启动

设计:确定输入、输出及电路状态来写出原始状态表和原始状态图化简原始状态表(可用卡诺图化简)→进行状态赋值(写出真值表)→选择触发器

2.异步时序逻辑电路分析

写出激励函数表达式→写出电路的次态方程组→作状态表→做时序图,说明电路功能

3.计数器

同步计数器:同CP

异步计数器:不同CP 写出时序方程、输出方程、驱动方程→次态方程→状态计算,列出状态表→画出状态图

功能描述:其实数字电路在我们生活中有很大的作用,在人们的日常生活中,常用的计算机,电视机,音响系统,视频记录设备,长途电话等电子设备或电子系统,无不采用数字电路或数字系统数字电子技术的应用。 关于数制和码制学习,主要涉及进制之间的变换,转换等。当然也强调了二进制的各种运算,以及源码反码补码运用等。几种常用的编码,我们主要学的是BCD码,还有余3码。

如果说关于数制和码制学习还看不出和数字电路有何关系,接下来的逻辑代数基础这章更加靠近我们之后的数字电路学习了,对于数制仅仅只是工具。各种真值表,门电路,逻辑方程等等都全面。本章也有很多需要去记忆的公式定理,比方说基本公式,常用公式以及逻辑代数的基本定理等等。

逻辑函数的表示方法有这几种:

1、逻辑真值表

2、逻辑函数式

3、逻辑图

4、波形图,这些表示方法之间是可以互相转换的。

逻辑函数的两种标准形式,最小项和最大项,我们用最小项用的是最多。由于随着课程学习的深入我们遇到的逻辑函数表达式越来越复杂,自然需要化简来实现公式的简化,,电路的简化,于是我们学习到了卡诺图化简法,用卡诺图化简法大大提高了我们化简的效率和准确率。

在一些实际电路中我们并不需要一些变量,这些变量或许会影响我的结果或者也不影响,这些变量统称为无关项,在函数表达式中我们称之为约束项和任意项。对于无关变量的作用,通常用于化简以及之后的消除竞争——冒险现象等。

我们有了逻辑代数这一直接数字电路基础,之后的组合逻辑电路和时序逻辑电路的分析和设计,便更加明确和逻辑。

组合逻辑电路学习我们才真正意义上开始接触逻辑电路。组合逻辑电路的逻辑功能是任意时刻的输出仅仅决定于该时刻的输入;电路结构则是不含有记忆器件。逻辑功能的描述和之前学习表示方法一致,真值表,逻辑方程,逻辑图和波形图。对于组合逻辑电路分析方法则是:①逐条写出电路输入到输出的逻辑函数式;②用公式化简法和卡诺图化简法让函数式化简;③为了更加直观可以转换为真值表形式;④最后分析结果。组合逻辑的设计方法步骤:先逻辑抽象,再写逻辑函数式,然后选择器件类型,转化适当形式。

主要的基本组合逻辑电路不多,比如:普通编码器,优化编码器,译码器,显示译码器,数据选择器,加法器(全加器,半加器,一位加法器,多位加法器,多元加法器,超前进位加法器),数值比较器等等。这些都是我们很常用而且很基本的组合逻辑电路。

对于组合逻辑电路中,竞争——冒险现象可以通过接入滤波电容,引入选通脉冲和修改逻辑设计来实现消除竞争冒险现象。

上一篇:高一信息技术期末试题下一篇:创新枫桥经验大会讲话