电路板pcb设计流程

2024-09-15 版权声明 我要投稿

电路板pcb设计流程(精选10篇)

电路板pcb设计流程 篇1

经过五天的PCB电路板训练,通过对软件的使用,以及实际电路板的设计,对电路板有了更深的认识,知道了电路板的相关知识和实际工作原理。同时也感受到了电路板的强大能力,怪不得现在的电路都是采用集成的电路板电路,因为它实在是有太多的好处,节约空间,方便接线,能大大缩小电路的体积。方便人类小型电器的发明。但是电路板也有一定缺陷,就是太小了,散热不是特别好,这就使得器件的性能不能像想象中那么好。

通过使用,不得不说cadence软件确实很好用,功能太强大,而且也很方便使用,接线,布线,绘制电路板等,很方便使用,不过有一点就是,器件接线的时候不能直接把器件接到导线上,这点不够人性化。虽然说,软件学了五天时间,不过对软件使用还不是能完全掌握,只能掌握一些基本操作,对更深层的有些就不是很了解了。但是时间有限,只有一个星期实训PCB电路板,老师能教给我们的也只有这么多了,剩下的只有靠我们自己回去自己学习了,作为电子工程系的一名学生,深知掌握这些装也软件的重要性,因为以后我们从事 的技术工作需要这些软件工具。

第一天搭接电路,还比较简单,只是有点麻烦,电路搭接好后就要开始封装各个元器件的封装,这就需要很大的耐心,一个一个元器件的进行封装,还不能弄错,不然后面就生成不了报表,生成不了报表,后面进行电路板设计的时候就会导入错误,以致不能进行电路板设计。后面用 PCB Editer 进行设计电路板设计要导入报表,然后才能开始布局和布线,由于导入的库文件里面没有sop8和sop28两个焊盘的封装,因此在进行设计电路板之前,要先设计那两个器件的焊盘的封装,然后导入库函数,才能导入报表的时候不会报错。不过导入的时候也遇到了一些问题,会提示二极管的管脚不匹配,譬如多一个2脚,少一个3角,然后就觉得很神奇,二极管就只有两个管脚怎么会有3脚了。后面通过老师的讲解,才明白,原来设计电路板的时候只认封装,不认元器件,是根据封装导入元器件,因此在设计封装的时候,管脚是怎么设计,在原理图里面就要把元器件的管脚改成和封装一样,后面把原理图的管脚改成和导入库函数里面的封装一样,提示就没有了,不过后面又遇到一些小问题,譬如说,下划线写成横线了,然后就有报错,找不到元器件的封装。这给我警示,在原理图的时候,要仔细认真的把管脚封装写对,最然会很麻烦。后面导入报表,开始设计电路板,先开始是布局,大致步好后,然后就开始用软件自带的自动布线,结果发现有很多蝴蝶结,为什么要自动布线,因为最开始我认为如果自动布线可以的话,那手动布线肯定也可以,结果后面一直自动布线不成功。后面老师讲解,才知道,不一定要自动布线成功才能手动布线,浪费了好多时间,以至于后面都要重新排,因为最开始没有把原理图的元器件分块布局,完全是凭感觉乱布局的,后面就是一大片密密麻麻的线,而且很多元器件接点的线都有点长。后面按块先布局,然后再整体布局,然后再微小变动,这样,线明显变少了,而且元器件的接点的线都很少很长了,这样就方便后面的布线了。所以说,布局那是相当的重要啊,先考虑局部,然后再考虑整体。布局步好后,布线就很快了,也没有花多少时间布局,步好后,看了下,还是感觉蛮好的,再没有布电源和地线的情况下,总共打了21个孔,总之,布线的图看起还是蛮自豪的,花了几天的时间,设计出了人生的第一块的电路板,虽然设计的不是很好,但是第一次也足够了。后面再布电源线和地线,记过后面就有63个孔,能感觉到,电路板中间设计电源层和地层,真是一个相当合理的设计,只需要一个打孔到该层就可以了,不用在电路板上面绕好多好多的线,同时也方便了其他没有接电源线和地线的元器件的布线,因为没有这些接电源线和地线,就节约出了很多的空间,可以用来给其他元器件布线。

设计了五天,终于是在最后一天,把所有的设计好了,真是不容易啊。老师也不容易,有什么不懂的地方,老师都是很耐心的给我们讲解,在这里谢谢老师。老师辛苦了。

这次实训,也收获了很多,最重要的是对电路板有了很好的认识,因为以前都不怎么知道电路板,平时上课的时候也没有老师讲过。通过这次设计电路板以及老师的讲解,才对电路板有了很好的认识,因为电路板这个东西,对我们是很有用的,因为以后我们就是和这个东西打交道。其次是知道了怎么去设计电路板,虽然只是理论上的,还不是实际上的,也感觉到其实设计电路板也不像想象中那么困难,只要最开始设计好原理图,后面的一切就交给计算机去设计。不过从这个实训中也体会到,仔细认真,对我们理工科学生是相当重要,因为在封装的时候任何一个小错误,都会造成后面设计电路板不成功。还有就是不能太急躁,最开始想很快做完,结果做的后面都要重做,设计这个东西,也要循环渐进。

卢骏

电路板pcb设计流程 篇2

随着工业迅速发展, 各行各业所使用的设备要求也越来越高、越来越精确, 而设备的控制模块成本越低、运行稳定性越高、功能越齐全则越受欢迎, 所以单片机的控制板从来都是首选之一;同时对技术人员的技术能力要求也越来越高, 要求对单片机技术、单片机PCB板的各项工艺要相当熟悉。

而对于在校学生, 在单片机PCB板制作方面是毫无经验的;或者某些业余单片机爱好者, 要制作一块PCB板会比较困难。传统的方法是使用三氯化铁等化学物品, 污染性比较大;外送给打样公司打样制版的费用也比较高, 因为第一次设计的PCB板未必就是最终的, 中途要进行多次更改、调试才满足最后的功能要求, 而且外送打样的周期比较长。

1 系统组成及原理

该系统是一种简便、成本较低的PCB板制作设备, 使用感光板通过曝光、显影、蚀刻、打孔、镀镍等工艺处理, 使得一块简单的感光铜板变成一块用户想要的单面或双面PCB电路板, 整个过程用时2 h (不包括电路设计和PCB布局、布线等在计算机上操作的过程) 。

1.1 系统组成

该系统包含输入、显示、输出和反馈等几个部分, 如图1所示。

整个系统是以STC89S52单片机作为核心, 接收用户输入信息、反馈信息;用LCD12864显示屏显示当前进度, 或操作提示;输出模块包含有显影、蚀刻和镀镍3个功能, 每个功能包括有液体溶液容量测量、温度测量、温度加温控制、液体滚动控制和报警等环节模块。

用户输入信息是指操作用户选择功能、时间设定、温度设定等操作输入;

反馈信息是指在系统工作过程中, 或在工作前的检测信息, 有溶液容量、温度、时间等测量值。

显影、蚀刻和镀镍3个工艺流程的系统结构是一样的, 不同的是所设定的温度、指定温度下的工作时间。在这3个过程中, 提高温度可以激活或加快各自的化学反应;液体的滚动可以充分均匀液体中的化学成分, 使反应更平衡;设定时间是为了保证反应充分、制版成功, 也为了避免因反应时间过长而破坏应保留的电路。

温度加温采用普通的加热棒, 可调温度范围为30~60℃, 达到使用要求。

温度检测使用普通的检测棒, 再将该物理量通过AD芯片转化为数字量反馈进系统程序进行对比, 若当前温度低于预设温度则继续加温, 若温度已经达到预设温度则停止加温。

液体滚动使用创星EP 9000, 在整个过程中不断地向液体容箱中鼓入气体, 使液体在循环滚动。

1.2 PCB板制作原理

显影溶液为针对正极性感光板显影粉调制溶液, 主要成分是氢氧化钠;蚀刻溶液为比铜元素活动性低的溶液, 通过置换反应将铜置换出来成为游离态, 主要成分是过硫酸铵;镀镍溶液是镍的溶解溶液, 结合预先处理的铜板使得铜板表面铜的固化和双面板过孔的金属孔化, 保证双面通电。

2 程序流程设计

整个系统是由3大功能模块和一个现实模块组成, 因此在控制上采用并联与串联联合的方式来设计程序, 整体程序如图2所示, 显影、蚀刻和镀镍的控制过程是一样的, 因此这里仅绘制显影的程序流程。

程序启动, 系统马上进入功能选择, 例如选择“显影”功能, 程序跳转到“显影”模块下的参数设定环节, 用户要设定的参数有时间、温度和液体滚动级别等。设置完参数后程序会自行监测容器中现有溶液的容量, 要求溶液要覆盖加热棒的加热部分, 否则系统会运行报警并提示“溶量不足, 请添加溶液”, 并且程序停留在当前位置等待处理;当溶液是足够时, 系统会进行下一步动作, 同时启动温控和液控两部分;液控是指根据用户设定的液体滚动级别来滚动液体;温控是检测当前溶液温度是否达到用户设定值, 未到达的情况下启动电热棒进行加热, 已达到时则停止加热。

由于整个过程中液体在不断滚动, 所以容器中的溶液温度是比较均匀的;当温度达到用户设定值时, 提示用户可将待处理的PCB板放入, 并通过用户启动时间按钮来启动计时, 系统将进入自循环的过程:温度检测、容量检测和时间检测;时间到达后, 会提示用户及时取出PCB板, 以免因时间长造成“过显影”而使得PCB板成为废板。对于蚀刻和镀镍也是一样的处理过程。

用户取出PCB板后通过按钮已取出PCB, 系统将会停止当前模块的功能, 回到功能选择状态, 但其他模块正在进行的功能不受影响。

在整个过程中所有的提示及温度、时间等参数都送到LCD显示出来, 报警同时又会有LCD显示和蜂鸣声。

3 结语

各高职院校、高等学校以及经常要进行单片机技术的动手操作、PCB设计等的单片机业余爱好者都要进行PCB板的制作, 笔者利用STC89S52单片机制作的该系统具有以下特点:1) 结构简单;2) 经济成本低;3) 制作的PCB板质量好;4) 制作PCB板的周期短;5) 制作所用耗材易购买;6) 环保, 溶液可以多次循环使用。

参考文献

[1]李华.MCS-51系列单片机实用接口技术[M].北京:北京航空航天大学出版社, 2002.

[2]冯媛硕, 宋吉江.基于单片机的温湿度检测控制系统设计[J].山东理工大学学报:自然科学版, 2014 (1) :19-23.

PCB电路设计课程中的思考 篇3

【关键词】PCB Protel99se 项目驱动

PCB电路设计是电子信息专业学生的一门重要课程,是一门集理论知识与实际技能于一体,实践性很强的课程。目前,已成为电子产品制作职业的核心能力课程。笔者根据多年主讲PCB电路设计课程的教学经验和指导学生毕业设计的体会,就该课程的几个问题进行了思考。

一、PCB电路设计软件版本的选择

选择一个适合的PCB电路设计软件,是这门课程思考的第一个问题,我主要从三个方面来思考这个问题:一方面是该课程在人才培养方案中设置的教学目的;第二方面是结合我所教育的对象;第三方面是该软件自身所具备的特点。

1.人才培养方案中对这门课程教学目的要求

根据学校制定的人才培养方案,学校增加开设PCB制作课程,所要实现的培养目标主要侧重的是让学生能精通PCB电路设计软件;能具备根据用户提供的原理图、元器件封装参数等要求,设计出相应的电路原理图和PCB的能力。基于上述课程设置,从而使中职生的技术能力得以提升和职业生涯可以发展。根据对PCB制作软件的了解和认识,笔者认为Protel 99se版本完全可以实现培养方案中的所达到要求的功能,是中等职业学校PCB课程的适合版本。

2.所教育的对象为中职生

PCB制作课程笔者所教授的对象为中职生,与高校、高职和高专层次的电子类专业学生相比,中职生更是存在年龄偏小、专业知识较弱、学习接受能力有限等问题。高校层次的电子线路设计课程经历了十几年的发展,形成了较为完善的体系。目前大多数高校电子类专业的Protel课程基本还是采用经典的99se版本进行教学。

3.Protel99se 软件的特点

Prote199se是Altinm公司生产的电路板设计系统的最为流行的版本,简单易学,可以进行联网设计,可为用户提供全线的设计解决方案,可使用户轻松进行各种复杂的电路板设计。根据综合电子论坛的调查统计,目前使用最多的是Protel99se,其使用的比例达到60%,从而使培养的学生能够更好的适用工作岗位。

二、任务驱动法在PCB电路设计课程中的应用

“任务驱动”教学法是一种建立在建构主义学习理论基础上的,有别于传统教学的新型教学方法。 如何能将任务驱动法在PCB制作这门课程中很好的进行应用,我从以下几个方面进行了思考。

1.任务驱动法中的任务如何设计

(1)任务设计要有明确的目标。项目要包含全部教学内容并尽可能自然、有机地结合多项知识点;其次,项目的难易度要针对学生的实际水平来确定。根据学科的知识要点,将任务分成五个模块:元件的绘制、原理图的绘制、元件的封装、网格表的生成、印刷电路板的制作。因此每个模块的任务设计的目的就是比较明确。

(2)任务设计的实用性。PCB电路板的制作课程本身就是一个使用性很强的课程,任务的设计不仅要结合教学内容和学生特点,而且更要注重实用性。教师在设计任务的过程中,最好亲自到工厂实践一下,这一点笔者身有体会。通过学校的一个省级课题,笔者有机会到一个医疗器械公司实践了两个月,在此期间与其公司的工程师合作了一个项目,感觉很有收获,其中一个最深的感触就是实际PCB的设计和我们教学中的还是有一定的差距的。

(3)任务的设计应考虑其分解性。任务的可分解性是将一个大的任务分成若干个分任务,再将分任务往下分,直到每个小的分任务可操作或执行为止。实际上.一个印制电路板的设计与实现过程就是不断分解任务的过程。。

2.任务驱动法中的任务如何实施

对于任务的实施,根据课程的特点和学生的实际情况,笔者采用了理论与实践结合,引领式实施任务和独立完成与分工合作相结合的模式。

(1)理论与实践相结合,引领式实施任务。在课程的安排上是一节理论一节实践,例如Protel99se是采用数据库的形式组织文件的,那到底到底采用这种方式如何来实现,有什么好处,我将这个任务提出来之后让学生们去思考,然后通过多媒体来给他们演示,这个就是同学们下一节课要完成的任务。在任务实践的过程中,要让学生利用所学知识去处理问题、完成任务,激发学生自主学习与探究学习的动机,增强学生参与知识建构的积极性和自觉性。如元件属性编辑,有整体编辑和部分属性编辑;整体编辑分放置元件前按Tab键方法、鼠标左键双击元件方法、菜单命令方法等,在老师的引领之下,学生的自主学习与探究的动力被激发了。又如在教学层次原理图时,可让几个学生各自完成一个个子图,然后汇合在一起,产生总图,实现自下而上的设计方法。采用这种让学生们边完成任务,老师边引领的方式,任务的实施效果较好。

(2)独立完成与分工合作相结合。对于一些小的任务模块,任务的实施是由学生独立完成的,这些任务的实施的目的是让学生们独立的去掌握本门课程的各个模块的知识点,例如单片机LED流水的制作,LED数字钟的设计。一些大型的项目,我们采用分工合作的方式来完成,比如基于AVR单片机开发板的设计与制作就是分小组来小组完成的,一方面解决了教育对象容易缺乏耐心,另一方面让他们逐渐形成了一种团队精神。

三、总结

总的来说在PCB电路设计课程中选择Prote199se作为教授的内容还是比较成功的,中职生接受起来容易,而且本软件的使用范围也比较广。在课程的讲授过程中引入了任务驱动法,学生学的效果还是比较理想的。

参考文献:

[1]及力.Prom 99 se原理图与PCB设计教程[M].北京:电子工业出版社,2008:36—94.

[2]刘丰华.电子线路EDA在实训教学上的探讨[J].中国教育发展研究杂志,2009,6f31:84—85.

PCB画板流程总结及注意事项 篇4

2.PCB布局开始前,先看看原始的文档,有没有可以复用的,可以复用的先保留(比如很多情况下的DDR和主芯片部分可以复用),其不能复用的全部打散拉出去,删除不必要的连线及过孔。在处理这些时可以关掉其他没必要的层面及元素(Solder mask Top, Solder mask Bottom,lines,text,ref,type,attributes,keepout)。

3.接下来,把所有的结构件定位好。把主芯片小系统按照各接口数据流最顺的方式定位好方向及位置。把各接口及排插按照要求(组装及功能要求)摆放好。4.从原理图入手,将各个功能模块单独布局好,先不用考虑放到一起去,可以在板外布局。5.各功能模块布局好后,从各接口入手,将功能模块按照数据流方向及走线最顺的原则整合在一起。当然在整合的过程中,各功能模块的布局可以微调,以达到各模块之间合理衔接,整板布局均匀、美观、合理的效果。6.各接口及排插上的附属器件(比如各接口排插上的磁珠,滤波电容,面板排插的器件等)可以与接口排插一起布局好,作为一个整体一起移动。

7.主芯片的滤波电容可以先不管,全部拉到外面,等主芯片所有的信号管脚都扇出完成,最后处理电源的时候再把电容一个一个地放进去。当然在扇出的过程中,要给这些电源管脚打出过孔,预留出空间。

8.还有一些上下拉电阻,也可以先不管,根据走线时的情况再放进去。

9.布局的过程中,重要的一点还要考虑电源的规划,要计划好哪些电源通过平面划分,哪些要通过走线,各相关的电源(同一个电源通过磁珠隔离给不同模块供电)尽可能放在一起,要有明确的电流流向。10.到此布局完成。

11.布线,先把DDR,HDMI,网口,TUNER,面板,CA,音视频,USB等这些重要的、多的信号线走完。走的过程中可以微调布局,使走线尽可能顺。

12.走线的方法,可以从主芯片的四个方向一个一个的扇出,向四周扩散,当主芯片的所有管脚扇出完,整个板子的信号都差不多走完了。

13.走线的过程中也要注意电源的走线,通过平面走的电源,在走线时打好过孔。14.然后再将其他信号连通。

15.所有的信号线走完后,就来处理电源,先把主芯片的电源处理完,将滤波电容放进去。按照之前的电源规划画好电源平面。电源走线的宽度要严格参考原理图的要求,并尽可能加大。

16.再处理特殊要求的走线:网口、HDMI的挖空,有阻抗要求的走线等。

17.最后就是敷地,打孔,修地了。敷好地后,检查地平面的完整性,该补的补该调的调,这是一项耗时耗力的一步,需要认真细心地去做,地的处理是整个板子的关键。18.走线要注意几点:

A,所有的走线尽可能走在表层,底层尽量少走线,因为表层的线才有完整的参考平面,底层也能尽量完整。B,电源平面的划分,要尽量少,电源层,也尽量成为一个大的平面,以给底层的走线提供参考。电源平面的交界处尽量避免信号线的跨分割,所有走在底层的重要信号,电源层必需提供一个完整的平面。C,电源走线要避免形成环形。D,在走线的过程中,所有的关键信号,该包地的要包好,并尽可能预留打孔的空间。E,敏感信号与强噪声信号尽可能远离,如:时钟与电源,时钟与CA等。

高速PCB设计心得 篇5

随着PCB系统的向着高密度和高速度的趋势不断的发展,电源的完整性问题,信号的完整性问题(SI),以及EMI,EMC的问题越来越突出,严重的影响了系统的性能甚至功能的实现。所谓高速并没有确切的定义,当然并不单单指时钟的速度,还包括数字系统上升沿及下降沿的跳变的速度,跳变的速度越快,上升和下降的时间越短,信号的高次谐波分量越丰富,当然就越容易引起SI,EMC,EMI的问题。本文根据以往的一些经验在以下几个方面对高速PCB的设计提出一些看法,希望对各位同事能有所帮助。 电源在系统设计中的重要性  不同传输线路的设计规则  电磁干扰的产生以及避免措施

二:电源的完整性

1. 供电电压的压降问题。

随着芯片工艺的提高,芯片的内核电压及IO电压越来越小,但功耗还是很大,所以电流有上升的趋势。在内核及电压比较高,功耗不是很大的系统中,电压压降问题也许不是很突出,但如果内核电压比较小,功耗又比较大的情况下,电源路径上的哪怕是0.1V的压降都是不允许的,比如说ADI公司的TS201内核电压只有1.2V,内核供电电流要2.68A,如果路径上有0.1欧姆的电阻,电压将会有0.268V的压降,这么大的压降会使芯片工作不正常。如何尽量减小路径上的压降呢?主要通过以下几种方法。a:尽量保证电源路径的畅通,减小路径上的阻抗,包括热焊盘的连接方式,应该尽量的保持电流的畅通,如下图1和图2的比较,很明显图2中选择的热焊盘要强于图1。

b:尽量增加大电流层的铜厚,最好能铺设两层同一网络的电源,以保证大电流能顺利的流过,避免产生过大的压降,关于电流大小和所流经铜厚的关系如表1所示。

(表1)oz.铜即35微米厚,2 oz.70微米, 类推

举例说,线宽0.025英寸,采用2 oz.盎斯的铜,而允许温升30度,那查表可知,最大安全电流是 4.0A。2. 同步开关噪声的问题。

同步开关噪声(Simultaneous Switch Noise,简称SSN)是指当器件处于开关状态,产生瞬间变化的电流(di/dt),在经过回流途径上存在的电感时,形成交流压降,从而引起噪声,所以也称为Δi噪声。开关速度越快,瞬间电流变化越显著,电流回路上的电感越大,则产生的SSN越严重。基本公式为:

VSSN=N·LLoop·(dI/dt)

公式1。

其中I指单个开关输出的电流,N是同时开关的驱动端数目,LLoop为整个回流路径上的电感,而VSSN就是同步开关噪声的大小。

如果是由于封装电感而引起地平面的波动,造成芯片地和系统地不一致,芯片的地被抬高这种现象我们称为地弹(Groundbounce)。同样,如果是由于封装电感引起的芯片和系统电源被降低,就称为电源反弹(PowerBounce)。如果芯片内部多个驱动同时开关时,会造成很大的芯片电源电压的压降和地平面的抬高,从而造成芯片的驱动能力的降低,电路速度会减慢。由公式1可知减小回路电感可以减小VSSN,其中回路电感包括芯片管脚的寄生电感,芯片内部电源和芯片内部地的电感,系统的电源和地的电感,以及信号线自身的电感,这四部分组成。所以见小VSSN的办法主要有以下几种方式。

a : 降低芯片内部驱动器的开关速率和同时开关的数目,以减小di/dt,不过这种方式不现实,因为电路设计的方向就是更快,更密。b : 降低系统供给电源的电感,高速电路设计中要求使用单独的电源层,并让电源层和地平面尽量接近。

c :降低芯片封装中的电源和地管脚的电感,比如增加电源/地的管脚数目,减短引线长度,尽可能采用大面积铺铜。

d :增加电源和地的互相耦合电感也可以减小回路总的电感,因此要让电源和地的管脚成对分布,并尽量靠近。

3.地的分割原则

任何一根信号线中的电流都要通过和它临近的地平面来回到它的驱动端,所以我们进行地的分割的时候要避免避免割断高速信号的回留路径,如下图3所示:

(图3)

上面的信号回路的电流不得不绕过分割槽,这样会产生很多相关的EMI问题,以及会给信号线的阻抗匹配产生影响。

三:不同传输线路的设计规则

根据信号线所处印制版中的层叠位置可以将信号线分为微带线和带状线,其中微带线是指在PCB的表层所走的线,有一层介质和它相临,信号传输速度较带状线要快,带状线在PCB的内层,有两层介质相临,信号传输速度比微带线要慢,但是EMI,EMC以及串扰等性能要好的多,所以建议高速信号都走成带状线。

根据信号线传输信号的方式最常见的有两种方式包括单端线和差分线。其中影响单端线传输性能的包括信号的反射和串扰。差分线虽然噪声免疫,但对阻抗控制,差分对间的线长要有严格的控制。下面分别对影响单端线和差分线性能的因素进行一下分析。1. 单端线反射的形成以及消除办法

我们知道如果源端的阻抗和终端的阻抗相匹配那么信号的功率 将会是最大,如果终端和源端阻抗不匹配则将会引起信号的反射,部分信号还会辐射出去造成EMI问题。

(图4)

那么什么时候反射不用考虑,什么时候不得不考虑呢?如图4所示,假设信号从源端由高电平变为低电平传输出去,信号传输延时为Tp,(有的文档将沿跳变时间<=四分之一Tp做为把信号线看成微波中传输线的条件)如果2Tp小于信号沿的跳边时间的话,反射因素就不用考虑,因为不会影响电平的判断,只会使沿的跳变不规则。相反的如果2Tp大于信号沿跳变的时间,那么反射会在发射端形成振铃现象,会影响到电平的判断,所以要考虑影响。信号线在介质中的传输速度为:

公式2 公式2为信号线为带状线时的传输公式。当信号线为微带线时,传输的介电常数的计算公式为:

公式3

如果信号线过长则反射因素就不得不考虑。解决的办法可以在线上串一个小欧姆阻值的电阻,还可以并一个小容值的电容,不过这种方法不太现实。图5为串联电阻之前的波形,图6为串联电阻之后的波形。

2. 影响信号间串扰的因素及解决办法。

串扰是信号传输中常见的问题,有些说法只要控制间距是线宽的3倍就可以了,也就是常说的3W原则,这种说法只是说间距越大越好,但还是不够全面。

(图7)

由图7可知除了和线间距D有关,还和走线层和参考平面的高度H有关。D越大越好,H越小越好。随着PCB的密度越来越高,有时候不能满足3W原则,这就要根据系统的实际情况,看多大的串扰能够忍受,另外由于工艺的原因H也不能太小,一般都不要小于5mil。图8和图9为调整线间距和H前后的对比。3. 差分线阻抗匹配和走线应注意事项

现今LVDS走线越来越流行,主要原因是因为它是采用一对线 对一个信号进行传输,其中一根上传输正信号,另一根上传输相反的电平,在接收端相减,这样可以把走线上的共模噪声消除。另外就是因为它的低功耗,LVDS一般都采用电流驱动,电压幅度才350mvpp。当然它也有缺点就是需要2倍宽度的走线数来传输数据。

差分线一般传输信号的速度都比较快,所以要进行严格的阻抗控制,一般都控制在100欧姆。下图10为一个差分传输模型,其中Z11和Z22分别为两跟信号线的特性阻抗,K为另外一跟线对自己的耦合系数。I为线上的电流。

图10 1线上任意一点的电压为V1=Z11*i1+Z11*i1*K 2线上任意一点的电压为 V2=Z22*i2+Z22*i2*K因为Z11=Z22=Z0,i1=-i2,所以V1和V2大小相等方向相反。所以差分阻抗为 Zdiff=2*Z0*(1-K)

公式4 由公式4可知差分阻抗不仅和单跟线的特性阻抗Z0有关,还和耦合系数K有关,所以调整线宽,间距,介电常数,电介质厚度,都会影响到差分阻抗。

另外差分线大多应用在源同步时钟系统当中,这就要求数据线和时钟线的长度要匹配,类外由差分线自身的特性要求一对之间的两跟线要匹配。下图11为等长的理想的差分线在接收端的情形。可以看到两跟线完全等延时,再相减之后不会出现误码。而图12为其中一跟线的延时比另一跟要长的情形,这样再相减误码很容易产生。

图11

图12 由于布线工具和器件本身以及工艺的原因很难做到没一对线和对与对之间的线都匹配,至于相差多少合适,并没有严格的公式,即使有也要具体情况具体分析,不可能都使用。根据以往的调试经验当信号工作在500MHZ~~800MHZ之间时,对内相差80mil,对间和时钟相差+-250mil,不会出现问题。(仅做参考)。

四:电磁干扰的产生及避免措施

EMI即电磁辐射是很常见的问题,主要减少电磁辐射的办法有以下几种方法:

a :屏蔽。在比较敏感或高速的信号周围用地平面进行屏蔽,每格1000mil打一个地孔。

b :避免或减小信号的环路面积。由电磁场理论可知变化的电场产生变化的磁场,当开关频率很高的时候,会由环路向外辐射电磁能量,也容易接收外面的磁场,就象是一个天线,所以应该尽量避免。c :做好电源的滤波。滤波的器件主要包括磁珠和电容。磁珠类似带通滤波器,可以抑制高频,选择不同容值的电容可以针对不同频率的滤波起到旁路作用。五:总结

pcb设计仿真实验的心得 篇6

(1)pcb的分类:

根据电路层数分类:分为单面板、双面板和多层板。

单面板 :在最基本的pcb上,零件集中在其中的一面,导线集中在另一面上。

双面板 :电路板的两面都有布线,双面板的面积比单面板大了一倍,而且因为布线可以互相交错(可以绕到另一面),它更适合在比单面板更复杂的电路上。

多层板 :多层板用上了更多单或双面布线板。 (2)protel99se三个常用的快捷键: 鼠标左键+x—浮动图件左右翻转;鼠标左键+y—浮动图件上下翻转;

鼠标左键+空格键—浮动图件转至90°。

二、原理图设计:

(1) 新建设计和编辑环境的设置: 1. 打开protel 99se; 2.单击file菜单下的new,弹出一个对话框,新建sch文件,选择所需要的存储位置; 3 .选择e盘,在e盘新建一个文件夹,自己命名,并保存。 (2)元件属性的编辑和操作: 1. 从元件的库列表中选择所需要的库,如dos和devices库; 2. 在库中找到所需元件,点击place,将其移入编辑界面; 4.返回之前制作的.sch编辑界面,点击add将制作的8res这个文件装入,再在该界面添所需标号;

5.连线。有直接连线法和网络标号法两种。6.电气检查。原理图绘制好后,需要对原理图进行电气检;点击tool菜单下erc命令。默认状态下,除了抑制警告不选外,其他的都要选择,这为检查带来方便。7.材料清单的生成。点击reports菜单下的“bill of material”,则会弹出生成清单向导。根据提示选择所生成的材料清单。 原理图如下: vccp00p01p02p03p04p05p06p07r11235688res

电路板pcb设计流程 篇7

1 高速数模混合电路信号的完整性

信号完整性就是在信号线上, 信号的质量。想要保证信号的完整性, 必须满足一定的要求, 要确保空间的完整性, 能够满足电路相关的要求, 比如, 最大输入的低电平要求。还要确保时间上的完整性, 要能够有效维护电路的最小维持时间等。

1.1 电路信号完整性的影响因素

就信号完整性而言, 受到多种因素的影响。一是:延迟。就信号而言, 其传输要借助PCB板的导线, 在传输过程中, 会出现传输延迟现象。一旦传输的信号延迟, 电路系统时序将会受到影响, 进而影响信号的完整性。就传输延迟来说, 会受制于导线的长度、周围介质的介质常数。二是:反射、串扰噪声。在电路系统运行中, 如果信号线网出现过孔、弯曲等问题, 将会产生反射噪声。如果电路信号网、电源分布系统等之间出现电磁耦合, 将会产生串扰噪声, 都会干扰信号, 影响信号的传输。

1.2 电路信号完整性需要解决的问题

1.2.1 电源分布

在设计高速数模混合电路板过程中, 要全方位分析电源分布网络。它要为低噪声的电路板电路提供必要的电源, 但属于低噪声, VCC、接地等都需要包括内。还要在提供对应的信号回路, 电路板上产生、接收的信号便是其主要对象。

1.2.2 串扰问题, 运用电磁兼容性。

所谓的串扰是指在电路运行过程中, 存在于线迹之间多余的信号耦合, 属于电容、电感性质。电容性的串扰就是信号线路的电容耦合, 一旦不同线路相互靠近, 就会发生串扰问题。而电感串扰是线路中多余变压器线圈间的信号耦合, 在电流环路作用下, 出现串扰问题。借助电磁兼容性, 使各种电气装置、电气系统能够同时在电磁环境下存在, 不会被电磁环境影响, 也不会影响周围环境。从某种角度来说, 在电磁兼容性作用下, 电路系统信号不会受到周围环境的影响, 已有的性能、功能也不会被损坏, 导致周围环境中的电磁能量过多, 影响电路周围设备的正常运作, 避免电路故障的频繁发生, 处于有序运行中。

2 高速数模混合电路PCB设计

在全面了解电磁兼容的基础上, 要遵循这些方面的原则。在设计PCB的时候, 需要尽可能减少电流环路已有的面积, 确保电路信号能够顺利通过, 避免出现大型的环状天线。同时, 在设计的时候, 不能采用多个参考面, 避免形成偶极天线, 影响信号的传输。

2.1 布局布线方面

在布局元器件的时候, 要分开放置模拟与数字电路部分, 以数字信号为例, 要在数字电路区间内部进行布线。在返回电路的时候, 数字信号才不会进入模拟信号区间, 干扰其中的模拟信号, 影响信号的正常传输。如果线路具有较高频率, 需要进行手工布线。在此基础上, 要注意输入、输出连接器所处的位置, 处理好模拟电路、数字电路的布线, 避免相互影响。要采用低阻抗的电源以及地网络, 避免数字电路导线受到较大的感抗, 避免模拟线路出现电容耦合现象。此外, 如果数字电路的频率较高, 而模拟线路有具有较强的敏感度, 相互之间必须保持一定的距离。

2.2 处理电源和接地线

在设计过程中, 要合理布局接地线, 进行必要的处理, 提高电路性能。在优化设计高速数模混合电路的时候, 需要全方位了解电路回流到地面的方法。如果需要分割地线层, 还要经过其中的间隙布线, 需要采用单点连接的方法, 连接被分割地, 构建连接桥梁。在优化利用连接桥布线的基础上, 使每个信号线下方都有直接的电流回流路径。当然, 也可以借助光隔离器件等, 跨领域分割信号间隙。在设计电路PCB的时候, 还需要综合应用数字与模拟电路, 注重电路信号布线, 有效解决设计中遇到的实际问题, 比如, 布局布线问题, 避免分割地带产生相关问题。需要全面分析高速数模混合电路板的测试结果, 优化设计方案, 灵活应用电磁兼容性, 合理设计PCB。此外, 就混合信号PCB板而言, 必须有独立的数字、模拟电源, 借助分割电源面, 控制好电源平面, 必须小于相邻的地平面, 避免出现耦合效应。

2.3 处理混合器件

通常情况下, 混合器件都有晶振等, 而在器件内部, 也是由数字电路、模拟电路相互组成。在设计过程中, 需要把DGND、AGND的引脚连接到相同的低阻抗上面, 要尽可能缩短引线, 确保所有的DGND都能顺利通过。尽管转换器内部的数字电流会进入到模拟的地平面中, 但并不会对其中的信号产生较大的干扰, 能够确保信号信息的正常传输。在此基础上, 数字与模拟电路引脚也需要连接到模拟的电源平面中, 并靠近相关的旁路电容, 还可以采用跨接电感的方法隔离线路。

3 结语

总而言之, 在电路板发展过程中, 要把高速数模混合电路放在核心位置, 优化PCB设计, 确保电路信号的完整性, 能够在不同线路中有序传输, 信号不会被电磁干扰, 确保信息数据的准确。在设计的时候, 要特别注意电磁兼容问题, 突破设计难点, 避免电路板被干扰。以此, 避免电路故障的频繁发生, 线路设备具有其电磁兼容性, 使其处于安全、稳定运行中, 使电路板更好地投入到使用中, 具有较好的效益。

参考文献

[1]郭锐.数模混合电路的PCB抗干扰设计[J].机电信息, 2015, 18:146-147.

[2]李小荣.高速数模混合电路信号完整性分析与PCB设计[D].杭州电子科技大学, 2010.

[3]黄菁, 杜田.基于信号完整性分析的高速电路设计[J].仪表技术, 2012, 06:16-19.

电路板pcb设计流程 篇8

2844芯片散热问题,目前得到的温升是比较理想的,从温升40度直降到36.5度,这样就很好地解决了温升问题。

1、2844芯片设计电路图:

2、TOP设计布线

设计要点:要保证2844 芯片本体中间有8个过孔,芯片的接地(N)引脚有2个过孔,走线与PIN同宽,其它尽量宽。

3、BOTTOM设计布线

设计要点:尽量保证下层铜皮宽度覆盖芯片的管脚,通过多个过孔,保证表层更好散热。

4、第二层N网络布线

设计要点:由于N网络在第二层是大面积的,需要多打几个过孔到外层散热,其它网路尽量引线出来再打孔,保证2844芯片本体下有完整的铜箔。

5、整体的布线

设计要点:尽量在离芯片 4MM处放置阻容零件,方便把芯片其他网络的引线拉出处理,保证了周边阻容的就近放置,也使芯片的散热铜皮加大。

设计经验结论:

A、散热的铜皮设计优先要布在外层,因为散热远好于内层,即使空间很紧,只有2-3mm的宽度,散热铜箔也要尽量平均布在外层,同时再增加内层铜皮。B、由于2844 芯片周边需要布阻容,大概在4 MM左右的距离布器件,即能满足阻容就近放置,也能满足散热铜箔面积大。C、2844 芯片的本体中心打上8个过孔,其它有空间尽量多打几个,更有助散热

二、附加设计文件

电路板pcb设计流程 篇9

实验五

Protel软件使用练习--PCB设计

学号:0*** 姓名:宋莹

班级:0133112231 一.实验目的

1、了解Protel 99 SE印刷电路板设计系统,包括印制电路板编辑器的基本设置、印制电路板的设计制作、印制电路板图所生成的各种文件、印制电路板图的输出、印制电路板元件库的编辑管理、印制电路板的设计规则及高级应用技巧;

2、了解Protel 99 SE的电路仿真功能。

二.实验内容

(一)创建工程:

1、打开Protel 99 SE:Windows桌面选择开始程序Protel 99 SE Protel 99 SE。

2、关闭旧的工程,创建一个新的工程工程:Filenew工程路径Browse„选择路径,如图1输入你所要创建的名字,如图2然后按确定键即可。工程就创建好了。

并保存在自己索要保存的位置!

3、首先要创建一个自己的库,新建一个工程Filenew工程路径Browse„输入mylib.Ddb点击保存然后点击OK。打开Document右击import„,如图3选择要加进去的两个库文件选择打开两个库文件就加载进去了,然后关闭这个工程。

4、然后开始电路板设计制作,新建一个工程Filenew,如图4工程路径Browse„输入走廊显示电路.Ddb点击保存点击OK。打开Document右击new选择Schematic Document点击OK。

5、对双击。

(二)设计图纸:

1、打开原理图,按照老师给的原理图将需要的各个元器件摆在图纸上,放完之后按照原理图的各个元器件的摆放位置和名称将其一一摆好,用

连上导线,用加上网络标号,用接上电源线和地线。

2、在设计实验电路时,需要将其中的库改为自己的库,点击然后会弹出一个对话框,如图所示。选择你自己库的所在位置,点掉然后双击自己的库文件,3、如在实验过程中发现自己所需要的元器件没有的话,可以自己手动制作一个元器件。点击

会弹出如下图所示的画面,将原有的元器件删除,然后点击窗体右侧的工具栏,选择自己要创建元器件的样式。如想改变原有元器件的参数和样式,方法也同上。

(三)制作PCB板

1、然后通过所画的电路图生成一张网络表,DesignCreate Netlist,会出现如图

2、关掉原理图,打开新建PCB文件,选择

3、打开新建的PCB文件,将

。改成,然后点击弹出一个对话框,选择自己库文件所在的位置,双击自己的库文件(此种添加库文件的做法只针对XP系统,XP以上系统不支持),可以选择Find然后浏览所有库文件,在找到所需公司库文件双击即可,或者到安装盘更改后缀名为.ini里面设置,做法可以百度。

4、将里面的元器件跟老师的PCB图对照,发现相同的元器件,就把电路图中的参数改成和PCB元器件相同的参数。

5、改完所有参数之后,在中PCB电路图中,DesignLoad Nets„出现生成的网络表,在中选择生成一张PCB板。

6、在生成的PCB板中对各种器件进行分装。分装的方法,在KeepOUlayer层进行分装,按照老师所给的电路图拖成如右图的样子。在分装完成后,要用 在此层画上边框。

7、在布线之前一般前对线的粗细作一个操作如下:点击Design中的Rules;改变数值大小即可。然后将PCB板连线,线分为底层和顶层,顶层是红线,底层是蓝线,用自动布线的方法。

8、在布线之前一般前对线的粗细作一个操作如下:点击Design中的Rules;改变数值大小即可。然后将PCB板连线,线分为底层和顶层,顶层是红线,底层是蓝线,用自动布线的方法。如下图

9、在完成PCB板的布线后,往往还要进行敷铜、包地处理,以提高PCB的抗扰能力。“敷铜”就是在电板上没有布线的地方铺设铜膜与地线或电源线连接起来,以提高PCB板的抗干扰能力。改善散热条件。对一些特定区的焊盘还要进行补泪滴处理。

10、在Place中的Polygon Plane。在Bottom layer层中。最后形成的PCB板图如下

三、实验心得体会

电路板pcb设计流程 篇10

在PCB设计中, EMC/EMI主要分析布线网络本身的信号完整性, 实际布线网络可能产生的电磁辐射和电磁干扰以及电路板本身抵抗外部电磁干扰的能力。具体来说, 信号完整性分析包括同一布线网络上同一信号的反射分析、阻抗匹配分析、信号过冲分析、信号时序分析、信号强调分析等[1,2,3]。对于邻近布线网络上不同信号之间的串扰分析, 由于在相邻PCB布线之间存在寄生电容CSV, 高频信号会通过CSV引起互相干扰, 在一路有脉冲信号通过时, 另一路上在脉冲的上升沿和下降沿位置有干扰脉冲出现, 这就是PCB布线间的串扰。串扰一方面影响信号质量, 同时串扰脉冲也是EMI的主要发射源。在信号完整性分析时还必须考虑布线网络的几何拓扑结构, PCB绝缘层的电介质特性以及每一布线层的电气特性。信号完整性的建模与仿真是通过使用电路与电磁场的分析软件完成的, 优化设计改善层间噪声与电源层和地线层之间的阻抗, 降低信号的反射和串扰, 改进信号的回流路径, 降低电源分配系统阻抗, 同步开关噪声, 消除频率的谐振, 合理放置去耦与旁路电容改善电源地的阻抗与谐振, 使用屏蔽过孔等措施改进边缘辐射。如图1所示, 对原始信号的“噪声状”区域进行放大以后, 发现该信号明显有一个固定的频率分量在里面, 约为3GHz左右, 通过理论分析, 该信号应该是本振泄露 (本振为1.5GHz) , 由于混频器产生的二次谐波以及混频后引入的直流漂移经共同的“地层”串扰至接收信号造成的。理论仿真结果 ( (c) 图) 与实际结果 ( (d) 图) 对比如图1 (c) (d) 所示。

2 EMC分析的电磁场求解方法

与低速情况下的数字设计相比, 在高速PCB走线中的电信号的传播速度取决于其周围的介质和走线的几何结构, 在高速数字电路PCB设计中, 当布线长度大于1/20波长或信号延时超过1/6信号上升沿时, PCB布线可被视为传输线[2]。传输线有两种类型:微带线和带状线。与EMC设计有关的传输线特性包括:特征阻抗、传输延迟、固有电容和固有电感。反射与串扰会影响信号质量, 同时从EMC的角度考虑, 也是EMI的主要来源。计算印刷电路板信号上升由该特性的持续时间和它的传播延迟时间决定。我们观察到的振铃现象是由于信号多次反射造成的, 在高频电子工程中逻辑门电路较快的转换时间会导致返回电流、串扰和振铃等等问题。根据以上分析, 信号完整性的仿真与建模通常可以用下面提到的电磁仿真方法来进行分析。

当相邻导线或微带线之间与参考平面的距离和基波波长相近时, 我们可以假设, 在横截面的上的场是准静态的, 即它们服从拉普拉斯方程的二维形式。对于目前PCB板的尺寸, 电磁脉冲信号高达几GHz, 这一假设通常是有效的。并且, 随着信号速度的提高, 这些假设将一直有效。由麦氏方程我们可以得到

其中右边项是求解的一大障碍, 因为在一般情况下, 都是空间位置的函数。因为在局部上我们可以将电磁波的波阵面看成平面波的波面, 故假设

式中, φ=φ(x,y,z)是空间位置的实函数, ko=ω/c=2π/λ是自由空间中传播常数, λ0是自由空间中的波长, c是自由空间内的光速。H0、E0也是空间位置的函数。但是有可能是复数。φ是一个确定等相位面的特征函数。将上式代入麦氏方程组, 并认为λ0→0, 可以得到其简单的形式是

结合边界条件就可以计算得到场分布的情况了。

一种经常采用的求解此Laplace方程的算法称为有限元法 (FEM) , 这种方法需要将系统分割成有限个分区或单元, 对每个单元分别求解并按标准方法加以组合, 从而形成原系统的一个数值近似解。在此基础上求解积分方程

σ(r1)是导体表面电流分布, G(r|r1)为格林函数, 表面电流分布可以采用边界有限元 (BEM) 方法分析。有限元法采用自适应迭代算法, 该算法一开始先选用较粗的剖分, 采用我们上面介绍的方法求解, 然后看其精度是否满足要求。如不满足, 进一步细化剖分, 再次进行求解, 直到达到给定的精度。

另一种常用的计算方法称为矩量法, 它是将上述积分方程化为差分方程, 或将积分方程中积分化为有限求和, 从而建立代数方程组, 故它的主要工作量是用计算机求解代数方程组。在矩量法求解代数方程组的过程中, 矩阵规模的大小涉及到占用内存的多少, 在很大程度上影响了计算的速度。矩量法又分为时域和频域两种, 其中频域方法起步较早, 发展较为充分。此外, 还有一些其他的电磁计算方法如时域有限差分法 (FDTD) 、几何光学法 (GO) 等。

下面重点介绍另一种常用的准静态分析方法——奇偶模分析方法。该方法最早用在定向耦合器、滤波器、阻抗变换器、匹配网络以及振荡器等微波器件的仿真中, 它们都需要采用耦合微带线和耦合带状线等平行耦合线结构, 而奇偶模分析方法则是分析、设计这类耦合传输线的理论基础, 目前已有人将该方法引入到分析PCB的EMC特性上来[4]。图2是利用奇偶模方法分析信号完整性的一个例子, 其中a和b分别代表两条导线, 参数如图所示。算例采用single bit激励, 分析时将其分解成奇模和偶模两部分, 分别分析再叠加。由于微带线奇偶模的传输速率不同, 导致v_a_out出现较大的过冲。由计算结果可知其特性阻抗为49.2ohm, 偶模阻抗为65.4ohm, 奇模阻抗为37ohm, 奇偶模阻抗与端接的电阻 (开路) 不匹配, 因此总的输出结果出现很多次的反射叠加, 即产生了串扰噪声。这个例子说明在PCB设计中, 如果要求以最大程度减少反射并保持信号完整性时, 必须要考虑耦合微带线的奇偶模阻抗值。奇偶模分析方法是一种快速、有效的分析信号完整性的计算方法。

3 常用的EMC设计软件

电磁辐射分析主要考虑PCB板与外部的接口处的电磁辐射, PCB板中电源层的电磁辐射以及大功率布线网络动态工作时对外的辐射问题。目前, 基于Cadence公司SQ的板级与系统级互连仿真已经得到广泛应用, 在硬件设计流程中引入了SI/PI/EMI的仿真分析环节。德国的INCASES公司为设计者提供了EMC/EMI模拟仿真分析的软件包EMC-WOR-KBENCH, 成为该行业的领袖并多次主持了IEEE在EMC/EMI方面的研讨会。EMC-WORKBENCH能够满足电路设计者在电磁兼容方面的迫切需求, 改进了PCB设计的流程, 简化后期硬件调试中许多繁杂的工作。众多的EPLD和FPGA软件在生成最终PCB图之前也要分析EMC的问题;对于构成电子系统的PCB必须分析电磁兼容和电磁干扰特性, 这样的设计原则正在越来越多的电路设计者中达成共识。由于有了EMC/EMI的模拟仿真使PCB的设计进入了新的时代, 电子工程师们利用它可以在短期内设计出高质量高可靠性的产品。EMC/EMI模拟仿真分析的实施, 必将给电路设计者和PCB制造业带来无限商机。

如今一块电路板可能包括上百种来自于不同厂家、功能各异的电子元器件, 设计者要进行EMC/EMI分析就必须了解这些元器件的电气特性, 之后才能具体模拟仿真。这在以往看来是一项艰巨的工作, 现在由于有了IBIS和SPICE等数据库的支持, 使得EMC分析的问题迎刃而解[5]。鉴于SPICE3、HSPICE、PSPICE这些数据模型已为广大的电路设计者所熟知, 在此着重介绍IBIS。IBIS (I/O Buffer Interface Specification) , 即ANSI/EIA-656, 是一种通过测量或电路仿真得到, 基于V/I曲线的I/O缓冲器的快速而精确描述电气性能的模型。1990年由INTEL牵头、联合数家著名的半导体厂商共同制定了IBIS V1.0的行业标准, 经过不断的完善和发展, 于1997年更新为IBIS V3.0。现在此标准已被NS、Motorola、TI、IDT、Xilinx、Siemens、Cypress、VLSI等数百家半导体厂商支持, 同时Cadence、Mentor、Incases、Zuken-Redac等RDA公司在各自的软件中也添加了有关IBIS的功能模块。IBIS文件是一种文本文件, 是通过标准软件格式生成的“行为”信息的描述, 以说明IC的模拟电气特性。多数IBIS模块来源于SPICF模型, 也可用实际测量得到的V/I曲线描述模型。IC的SPICE模型是各半导体厂商立足的商业秘密, 受到知识产权的保护, 而IBIS模型是对用户完全开放的数据, 所以设计者可以免费得到这些数据。大多数半导体厂商在自己的网站上或产品CD-ROM中发布相关IC的IBIS数据。由于EDA厂家和电子元器件厂商联合支持IBIS和SPIICE等数据模型, 设计者可以安心地将它们用于电路的模拟仿真或用于EDA工具中, 轻松地进行EMC/EMI分析。

目前常用的EMC仿真分析工具有:Synopsys公司的HSPICE、Cadence公司的PCB SI、Ansoft公司的HFSS/SI-WAVE、Sigrity公司的Speedxp、CST公司的CST EM Studio等。下面根据电磁仿真工具所采用的计算方法重点介绍几种常用的分析软件:

(1) 基于矩量法的ADS (Advanced Design System) 软件, 它是美国安捷伦公司开发的大型综合设计软件, 是为系统和电路工程师提供的可开发各种形式的射频设计, 对于通信和航天/防御的应用, 从最简单到最复杂, 从离散射频/微波模块到集成MMIC。它可以从路的角度分析数字或模拟、线性或非线性电路, 完成系统级的设计和分析。

(2) 基于矩量法的另一常用电磁仿真软件为FEKO。FEKO是一款用于3D结构电磁场分析的仿真工具。它实现了非常全面的Mo M代码, 可以解决任何结构类型的问题。FEKO还针对许多特定问题, 例如平面多层介质结构、金属表面的涂覆等等, 开发了量身定制的代码, 在保证精度的同时获得最佳的效率。CST MICROWAVE STUDIO是基于时域有限差分的电磁仿真软件, 它可以应用在仿真电磁场领域包括大多数的高频电磁场问题上。移动通信、无线设计、信号完整性和电磁兼容 (EMC) 等。具体应用范围包括耦合器、滤波器、平面结构电路、联结器、IC封装、各种类型天线、微波元器件、蓝牙技术和电磁兼容/干扰等。

(3) 基于有限元方法的Ansoft HFSS, 它采用自适应网格剖分、ALPS快速扫频、切向元等专利技术, 集成了工业标准的建模系统, 提供了功能强大、使用灵活的宏语言, 直观的后处理器及独有的场计算器, 可计算分析显示各种复杂的电磁场, 并利用Optimetrics可对任意的参数进行优化和扫描分析。

(4) 基于分析奇偶模阻抗的耦合关系的Mathcad, 它可以求解复杂的SI表达式, 包括那些带有复数项、虚数项、对数函数和指数函数的表达式。此外, 还有常用的Protel、ADS等电路设计软件也具有信号完整性分析的功能。

下面我们对文章开头处的开关电路进行仿真建模, 对其进行信号完整性分析。仿真基本条件为:PCB板为2层, 板材为FR-4, 厚度为2.56mm, 介电常数为2.2。图3给出的是高速开关电路PCB图, CMOS器件的高速导致了更高的击穿电流, 因此它在抗射频干扰方面的表现更差。由图4可以看出时钟信号 (方波信号) 在开关电路中产生了振铃现象。当逻辑门的输出改变状态时, 流过的大电流使杂散电容放电, 这将产生可被耦合到下一个门和电路的其它部分的噪声电压。这个噪声像振铃, 在门的输出端添加一个小电阻可以减弱振铃。

4 结语

EMC与信号完整性可以说是关系密切, EMC是产品的正常功能能否实现所必须考虑的一步, 也是通过控制关键网络 (信号) 的质量, 比如减少反射、窜扰、振铃, 控制信号的辐射强度或降低对外界干扰的敏感程度, 达到各信号、单板相互之间正常工作。它需要从场的角度出发, 利用全波分析的方法求解电磁场的分布, 三维全波分析的方法可以是时域的, 也可以是频域的。电磁兼容的设计要同时考虑源和传播介质, 主要考虑电磁场的屏蔽性, 数字地与模拟地之间的串扰, 以及谐振频率的影响。而信号完整性分析主要是从信号波形的角度考虑, 在端口处的匹配问题是分析信号完整性的关键。而信号波形上升沿的陡峭程度同时决定EMC的辐射水平和信号完整性, 这是设计人员特别需要注意的。

参考文献

[1]Al Wexler.EMC Simulation Techniques for Printed CircuitBoards[J].Quantic EMC INC.1992.

[2]朱顺临.高速PCB的仿真与EMC设计方法探讨[J].质量与可靠性, 2005 (5) .

[3]荆立志.电子电路设计中EMC/EMI的模拟仿真[J].电子产品世界, 2005.

[4]“Even and odd mode impedances”[J].Microwave Encyclo-pedia, 2006。

上一篇:农村的建设市场分析下一篇:校内公开课评课记录