四人智力抢答器

2024-07-25 版权声明 我要投稿

四人智力抢答器(精选3篇)

四人智力抢答器 篇1

一、设计目的

1. 掌握抢答器的设计方法。 2. 熟悉锁存器的应用。

3. 了解学习触摸开关和发声元件。 二、设计指标

1.可供四组抢答,有人抢答时,蜂鸣器发声,同时优先抢答者对应的指示灯亮,而后抢答者对应的指示灯不亮。

2.主持人具有将抢答器复原的功能。

3.抢答者和主持人的按钮开关采用触摸按钮,蜂鸣器用压电陶瓷蜂鸣器作发声元件。

三、设计方案

智力竞赛抢答器是用来判断哪一个预定状态首先发生的电路。选手通过按键开关电路发出抢答信号,先按动者,抢答成功,保持与封锁电路将该信息保持下来,同时封锁其他选手的输入。抢答成功后对应灯亮,并启动声响电路发出抢答成功提示。主持人按键电路发出复位信号使保持与封锁电路的各输出清零。所以主要由开关阵列电路、触发锁存电路、脉冲产生电路、显示电路几部分构成。

(1)开关阵列电路是由多路开关组成,竞赛者与开关相对应。

(2)保持与封锁电路是当某一开关首先按下时,触发锁存电路被触发,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱。

(3)时钟脉冲源为锁存器提供时钟脉冲。

(4)显示电路即按钮开关按下时对应的指示灯亮。 根据上述设计,该装置的原理框图如下:

四、具体分析 1.触摸按钮

下图为两种自制的触摸按钮的原理图。触摸开关是两块距离约为1mm彼此绝缘的小导体(如铜片),也可用两根距离约为1mm的裸导线组成。图(a)示所示电路,原始状态时非门G输出为高电平,当有人用手指触及两个铜片时,相当于两块铜片间串接一个等效电阻,使非门输出变为低电平;图(b)所示电路,原始状态时非门G输出为低电平,当人用手指触及两块铜片时,非门输出变为高电平,为保护电路选用较大电阻。

2.按键保持与封锁电路

下图为4D触发器74LS175D,抢答前74LS175D四个抢答者的按钮均未按下,锁存器的输入端D1~D4全为0,所有显示电路无反应,当主持人宣布“抢答开始”之前,脉冲源信号进入CLK端此时抢答开关均为启动,输入均为0,触发器状态不变。开始后,有一个抢答

信号发出,Dn和Qn均为1,相应显示电路二极管发亮,Qn变为0,同时通过与非门送出信号,

锁住其余三个抢答者的电路,不再接受其他信号,知道主持人再次清除信号为止。

显示电路

开关列阵电路

4D触发器74LS175介绍如下:

3.脉冲产生电路

该电路是由555定时器接成的多谐振荡器,由555定时器和外接元件R1,,,R2 C构成多谐振荡器,脚2与脚6直接相连。电路没有稳态,仅存在两个暂稳态,电路亦不需要外加触发信号,

1

2

利用电源通过R1,,,R2 向C充电和放电,使电路产生振荡。电容C在3Vcc 和3Vcc之间充电和放电,其波形是方波。其产生的方波为锁存器提供CLK脉冲。

由555定时器的特性参数可知,555电路要求R1和R2均应大于或等于1K欧姆,但R1+R2应小于或等于3.3M欧姆。

当电源电压为5V时,在100mA的输出电流下输出的电压的典型值为3.3V所以取VCC=5V可以满足对输出脉冲幅度的要求。由于对输出脉冲的占空比要求不高,为便于计算,令占空比D=

R1?R2R1?2R2

?23

,故得到R1=R2,令f=1Hz,则T=0.7(R1+2R2)C=1。若取C=0.1uF,得R1=R2=48k

Ω。

4.显示电路

(1)发光电路由一个发光二极管与一个电阻串联组成。 (2)单音频音响电路

下图是用压电陶瓷蜂鸣器作发声元件的单音频音响电路。压电陶瓷蜂鸣器再适当信号驱动下能够发声,两个CMOS门电路与R1、R2和C组成振荡器,当控制端电压UA为高电平时,振荡器产生振荡,输出的高频信号驱动蜂鸣器发声;UA为低电平时,振荡器停振,蜂鸣器不发声。

6.总电路图

7.创新方面

本次设计在题目要求的.基础上又另加了一个震荡电路使得在裁判按下开关之后抢答的过程中LED5是一直闪烁的。

五、器件清单

元件名 电位器

蜂鸣器 发光管 实验板

型号 200欧 10K欧 5V 红

数量 1 1 2 10 2 元件清单

单价(元) 2 2 1 0.15 5

总价(元) 2 2 2 1.5 10

电阻 独石电容 按键 开关

底座

瓷片电容 铜柱 74LS175 74LS74 74LS00 74LS20 74LS04 555 总计

8脚 14脚 16脚

30 5 10 1 4 4 10 2 4 8 2 1 3 1 1 1

0.015 0.3 0.2 0.5 1.5 0.1 0.16 0.16 0.05 0.5 2 1 1 1 1 1

0.45 1.5 2 0.5 6 0.4 1.6 0.32 0.2 4 4 1 3 1 1 1

45.47

六、调试过程及现象

1.调试芯片74LS175 此四D触发器,各输入管脚均接入二极管,控制开关,检查4个二极管是否均正常发光。

2.先用数字电子实验室内的连续脉冲源对四D触发器的脉冲信号端即CP端提供时钟脉冲信号,以代替用定时器提供的脉冲源,观察整个抢答装置产生的现象。

(1)抢答开始前,开关K1,K2,K3,K4均置“0”,准备抢答,将开关S置“0”,发光二极管全熄灭,再将S置“1”。抢答开始,K1,K2,K3,K4某一开关置“1”,观察发光二极管的亮灭情况,然后再将其他三个开关中任意一个置“1”,观察发光二极管的亮灭是否有改变。

(2)重复(1)的内容,改变K1,K2,K3,K4任意一个开关的状态,观察抢答器的工作情况。

3.调试555脉冲源,用示波器观察其输出的脉冲波形,并结合实际电路调整其输出脉冲频率,待用。

4.断开实验装置上的连续脉冲源,接入设计出的555脉冲源,再进行实验。 5.组装各器件,进行统调。

6.按下四个开关按钮中的任一个,与其编号对应的LED被点亮,其他不亮,同时蜂鸣器发出声响。

7.确认在按下抢答按钮中的任一个之后,即使按下其他开关,其他LED也不亮。

8.将电路复位,观察现象。(由于连接实物失败,此调试过程均为理论过程) 七、总结

1.设计电路的优缺点

此电路结构清晰,连线简易,器件大众化,易购。容易控制,快捷方便。

555多谐振荡器不易调试。所设计的抢答器电路采用组合逻辑和时序逻辑电路设计,无需编程语言,具有电路简单,成本低廉,操作方便,灵敏可靠。易于制作等优点,而且在实际生活中具有很强的实用性。

2.收获与体会

本次设计数字抢答器,用到数字电子技术方面知识。通过这次课程设计,对集成电路的了解加深,课本上的知识更深的掌握。利用Multisim 10对4路竞赛抢答器的设计进行了仿真验证,实验结果证明该电路设计合理,具有比较可靠的工作方式和更强的适用性,达到了预期的设计目的和要求。通过本次设计体会到逻辑思维和团队合作的重要性。

八、参考文献

《数字电子技术基础(第五版)》 阎石 主编 《数字电子技术实验指导书》吉林大学出版社

九、集成芯片资料(另附)

四人智力抢答器 篇2

现场可编程门阵列FPGA作为集成度和复杂程度最高的可编程ASIC。是ASIC的一种新型门类,它建立在创新的发明构思和先进的EDA技术之上。运算器、乘法器、数字滤波器、二维卷积器等具有复杂算法的逻辑单元和信号处理单元的逻辑设计都可选用FPGA实现。

传统的数字逻辑设计使用TTL电平和小规模的数字集成电路来完成逻辑电路图。使用这些标准的逻辑器件已经被证实是最便宜的手段,但是要求做一些布线和复杂的电路集成板 (焊接调试) 等工作,如果出现错误,改动起来特别麻烦。因此,采用传统电子设计方案人员的很大一部分工作主要集中在设备器件之间物理连接、调试以及故障解决方面。正是因为FPGA的EDA技术使用了更高级的计算机语言,电路的生成基本上是由计算机来完成,将使用户能较快地完成更复杂的数字电路设计,由于没有器件之间的物理连接,因此调试及故障排除更迅速、有效。

本文运用EDA中的Quartus II作为软件开发平台,设计了一款基于FPGA的智力竞赛抢答器,并下载到逻辑资源为50万门的Cyclone系列EP1C20F400型芯片中实现了其功能。

(二)作品背景

在进行智力竞赛时,每个参赛队员考虑后都希望抢答成功,如果没有合适的设备,主持人就会很难分辨出哪组队员抢答成功,为了使比赛顺利进行,作者设计了一款智力竞赛抢答器。

(三)基于FPGA的智力竞赛抢答器的设计

作为现代集成电路设计的重点与热点,FPGA设计一般采用自顶向下、由粗到细、逐步求精的方法。设计最顶层是指系统的整体要求,最下层是指具体的逻辑电路实现。自顶向下是将数字系统的整体逐步分解为各个子系统和模块,若子系统规模较大则进一步分解为更小的子系统和模块,层层分解,直至整个系统中各子模块关系合理、便于设计实现为止。

1. RS触发器

用双D触发器7474来实现RS触发器的功能,如图所示,SD表示置位端,RD表示复位端,低电平有效,Q为原码输出,QBAR为反码输出。

2. 数字秒表模块

具有复位功能,用两个数码管分别显示个位和十位,当使能端为高电平时,开始计数,秒钟自动加一,计数时间为一分钟,蜂鸣器接到COUNTER_6的carry_out端口,计数到59时蜂鸣器报警的同时恢复到00状态,系统有单独的置零信号,将数码管显示时间直接恢复到00状态。显示部分的数码管段选用总线复用技术,位选用动态扫描,一般只要每个扫描频率超过人的眼睛视觉暂留频率24HZ以上就可以点亮单个显示而不闪烁,扫描频率采用与7447使能控制信号同一个1KHZ信号。10进制计数器的部分程序如下:

3. 分频器模块

将50MHZ的时钟信号送到分频器CLK后进行5千万分频后得到1HZ的频率由CLKOUT输出,采用VHDL语言编程,编译无误后创建文件符号DIV50供上层电路调用。程序实现如下:

4. 系统总体电路图

带有RS端的D触发器7474,它具有两个输入端:置‘0’输入端RD和置‘1’输入端SD,均为低电平有效,两个输出端Q和QBAR,在正常工作状态下互为相反,当不用CP和D端时,根据RD和SD的不同状态集成器具有输出保持状态,置‘0’和置‘1’的功能。

用两片7474构成的4个RS触发器组成时间鉴别电路。竞赛开始前,主持人先按下KK键,各触发器复位(Q=0), 4个发光二极管均不亮,竞赛开始后,开始抢答,例如第一组抢答成功即P1被按下则门1输出为0,使Q1=1,发光二极管亮,同时Q1BAR=‘0’。Q1BAR=‘0’有2个作用,其一是封锁门2、门3、门4,使P2、P3、P4再按时不能把相应触发器的Q置‘0’;其二是使门5输出为1,驱动数字秒表开始计时。当计时达到60秒钟的时候,蜂鸣器报警,答题时间结束。

5. 编程及测试

为设计项目选定Cyclone系列EP1C20F400型芯片;根据该芯片的管脚规定,自己在Pin/Location/chip屏幕中添加输入输出端可用的引脚编号,并编译通过;对器件编程,使用ByteBlaste下载电缆把项目以在线配置的方式下载到Cyclone系列EP1C20F400型芯片中。按功能键键复位,用四位拨码开关键模拟抢答,实验仿真结果正确,达到了预期的设计要求。

(四)结束语

现场可编程门阵列FPGA是含有大规模数字电路的通用性器件。这些数字电路之间的互联网络是由用户使用更高级的软件来定义的。FPGA可以进行无限次的重复编程, 从一个电路到另一个电路的变化是通过简单的卸载互联文件来实现的, 极大地推动了复杂数字电路的设计, 缩短了故障检查的时间。

参考文献

[1]高曙光.可编程逻辑器件原理、开发与应用[M].西安:西安电子科技大学出版社, 2002.

[2]刘宝琴.Ahera可编程逻辑器件及其应用[M].北京:清华大学出版社, 1995.

[3]阎石.数字电子技术基础[M].北京:高等教育出版社, 1999.

[4]章忠全.电子技术基础实验与课程设计[M].中国电力出版社, 1999.

智力竞赛抢答器逻辑电路设计 篇3

设计任务和要求

用TTL或CMOS集成电路设计智力竞赛抢答器逻辑控制电路,具体要求如下:

1.抢答组数为4组,输入抢答信号的控制电路应由无抖动开关来实现。

2.判别选组电路。能迅速、准确地判处抢答者,同时能排除其它组的干扰信号,闭锁其它各路输入使其它组再按开关时失去作用,并能对抢中者有光、声显示和呜叫指示。

3.计数、显示电路。每组有三位十进制计分显示电路,能进行加/减计分。

4.定时及音响。

必答时,启动定时灯亮,以示开始,当时间到要发出单音调“嘟”声,并熄灭指示灯。抢答时,当抢答开始后,指示灯应闪亮。当有某组抢答时,指示灯灭,最先抢答一组的灯亮,并发出音响。也可以驱动组别数字显示(用数码管显示)。回答问题的时间应可调整,分别为10s、20s、50s、60s或稍长些。

上一篇:说教材大赛心得体会下一篇:我回家最晚的一天500字