eda技术课程总结

2024-10-06 版权声明 我要投稿

eda技术课程总结(精选8篇)

eda技术课程总结 篇1

1、FPGA芯片的发展主要体现在哪几个方面?未来的发展趋势是什么?

ANS:

2、EDA技术的优势是什么?

ANS: EDA依赖功能强大的计算机在EDA工具软件平台上自动的完成逻辑化简、逻辑分割、逻辑综合、结构综合,以及逻辑优化和仿真等功能,直至实现既定性能的电子线路系统功能。EDA使得设计者的工作几乎仅限于利用软件的方式,即利用硬件描述语言HDL和EDA工具软件来完成对系统硬件功能的实现

+ P 10

3、EDA的设计流程包括哪几个环节?

ANS: ①设计输入(原理图/HDL文本编辑)②综合 ③ FPGA/CPLD 适配 ④ 时序仿真与功能门级仿真 ⑤FPGA/CPLD编程下载 ⑥FPGA/CPLD器件电路硬件检测。

4、硬件描述语言的种类有哪些?

ANS: VHDL、Verilog HDL、SystemVerilog、System C 等

5、自顶向下设计方法的优点是什么?

ANS:

过程大部分由计算机完成,可植性强,便于系统的优化和升级,以及对模型进行及时的修改,以改进系统或子系统的功能,更正设计错误,提高目标系统的工作速度,减小面积耗用,降低功耗和成本等。在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。

6、ip核可分为哪几类?

ANS: ①软IP、②固IP、③硬IP

7、ip在EDA技术的应用和发展中的意义是什么?

ANS:

IP就是将某些功能固化,而当EDA设计也需要这些功能的时候,就可以直接将植入了此功能的IP拿过来直接用,而不用再重新设计。这样既可以提高效率又可以减少设计风险。IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。

【第二章】

1、可编程逻辑器件经历哪些发展过程? ANS:

2、Altera公司的PLD芯片主要有哪些系列? ANS:

按照推出的先后顺序:Classic、MAX、FLEX、APEX、ACEX、APEX

2、Cyclone/2/3/

4、MAX2、Stratix-1/2/3/4/6.【第三章】

1、一个完整的VHDL程序包括哪几个部分?其作用是什么? ANS: ①实体描述部分 ②结构体描述部分

作用 略

2、VHDL中标示符的命名规则是什么?

ANS: 标识符是设计者在VHDL程序中自己定义的,用于标识不同名称的词语。例如实体名、端口名等。具体规则如下:

·有效的字符:包括26个大小写英文字母,数字包括0~9以及下划线。

·任何标识符必须以英文字母开头

·必须是单一的下划线,且前后都要有字母或数字。·标识符中的英文字母不区分大小写。

·允许包含图形符号(如回车符、换行符等),也允许包含空格符。

3、端口模式有哪些?

ANS: ①IN

2、OUT

3、INOUT双向端口

4、BUFFER 缓冲端口

4、VHDL中有哪些基本的数据类型? ANS: bit、bit_vector、std_logic、std_logic_vector、boolean(布尔)、natural(自然数)、integer(整数)、signed(有符号)、unsigned(无符号)、array(数组类)、record(记录类型)、Subtype(子类型)、用户自定义类型。

5、常用的VHDL程序包有哪些?

ANS:STD_LOGIC_1164、STD_LOGIC_ARITH、STD_LOGIC_SIGNED、STD_LOGIC_UNSIGNED

【第五章】

1、简述信号和变量的区别

ANS:比较对象

信号SIGNAL

变量VARIABLE

基本用法

用于作为电路中的信号连线

用于作为进程中局部数据存储单元

适用范围

在整个结构体内的任何地方都适用

只能在所定义的进程中使用

行为特性

在进程最后才对信号赋值

立即赋值

简单的说,信号是全局的,用于结构体中并行语句间数据流的传递;变量则是局部的,他主要用于单个进程中中间变量的存储.2、进程的特点是什么?

ANS:(1)进程结构内部的所有语句都是顺序执行的。

(2)多进程之间是并行执行的,并可访问结构体或实体中所定义的信号。

(3)进程的启动是由进程标识符PROCESS后的信号敏感表所标明的信号来触发的,也可以用WAIT语句等待一个触发条件的成立。

(4)各进程之间的通信是由信号来传递的。(5)进程语句的顺序性(6)进程的启动与执行过程

当进程中定义的任一敏感型号发生更新(变化)时,由顺序语句定义的行为就要重复执行一次。当进程中最后一个语句执行完毕后,执行过程将自动返回到进程的起始端,以等待下一次敏感信号的变化。

3、VHDL语言有哪几种描述方式?

ANS: 行为描述、数据流描述、结构描述

【第六章】

1、列举5个宏功能模块

ANS: ① 算数组件,包括累加器、加法器、乘法器和LPM算数函数等。

② 组合电路,包括多路选择器、比较器和LPM门函数等。

③ I/O组件,包括时钟数据恢复(CDR)、锁相环(PLL)等。

④ 存储器编译器件,包括FIFO Partitioner、RAM和ROM宏功能模块等。

⑤ 存储组件,包括存储器、移位寄存器宏模块和LPM存储器函数等。

2、Quartus能够接受的两种RAM或ROM初始化文件的格式是? ANS:.mif

.hex

3、给出锁相环的工作原理。

ANS: 锁相环路是一个相位反馈自动控制系统。它由以下三个基本部件组成:鉴相器(PD)、环路滤波器(LPF)和压控振荡器(VCO)。其组成方框图如下所示。

锁相环的工作原理:

    

4、已知实验板上有一个10MHZ的有源晶振,现在要产生1MHZ的正弦波,请提出设计方案

【第七章】

1、状态机的优点

ANS:① 高效的顺序控制模型 ②容易利用现成的EDA优化工具③性能稳定④设计实现效率高⑤高速性能

2、一般的状态机结构包括哪几个部分?各自的功能是什么? ANS: ①说明部分、说明部分用tpye语句定义新的数据类型,其元素通常用状态机的状态名来定义。状态变量(即现态和次态)应定义为信号,便于信息额传递,并将状态变量的数据类型定义为含有既定状态元素的新定义的数据类型。说明部分一般放在architecture 和 begin之间。

②主控时序过程、所谓主控时序过程是指负责状态机运转和在时钟驱动下负责状态转换。压控振荡器的输出经过采集并分频; 和基准信号同时输入鉴相器;

鉴相器通过比较上述两个信号的频率差,然后输出一个直流脉冲电压; 控制VCO,使它的频率改变;

这样经过一个很短的时间,VCO 的输出就会稳定于某一期望值。③主控组合过程、顾名思义,主控组合进程也可称为状态译码过程,其任务是根据外部输入的控制信号,包括来自状态机外部的信号和来自状态机内部其他非主控的组合或时序进程的信号,以确定对外输出或对内部其他组合或时序进程输出信号的内容。④辅助过程、辅助进程用于配合状态机工作的组合进程或时序进程。

3、状态机的状态编码有哪几种?各自的优缺点是什么?

ANS:① 直接输出型编码

这种编码最典型的应用就是计数器。直接输出型编码方式就是所谓的用户自定义编码方式,它的优点是输出速度快,不太可能出现毛刺现象。缺点是程序的可读性差,用于状态译码的组合逻辑资源比其他以相同触发器数量触发器 4构成的状态机多,而且控制非法状态出现的容错技术要求比较高。

②顺序编码

优点是 这种编码方式最为简单,在传统设计技术中最为常用,其使用的触发器最少,剩余的非法状态也最少,容错技术较为简单。缺点也很多,如常常会占用状态转换译码组合逻辑较多的资源,特别是有的相邻状态或不相邻状态的状态转换时涉及多个触发器的同时状态转换,因此将耗费更多的转换时间,而且容易出现毛刺现象。

③一位热码状态编码

一位热码状态编码虽然占用了较多的触发器,但其简单的编码方式大为简化了状态译码逻辑,提高了状态转换速度,增强了状态机的工作稳定性,这对于含有较多的时序逻辑资源、相对较少的组合逻辑资源的FPGA器件是最好的解决方案。

常用的去除毛刺的方法有哪几种?

ANS: ①延时方式去毛刺

②逻辑方式去毛刺 ③ 定时方式去毛刺 P261

【第八章】

1、资源优化可以通过哪几种方式实现 ANS:①资源共享 ②逻辑优化 ③串行化

2、速度优化可以通过哪几种方式实现?

ANS:① 利用流水线设计技术 ②寄存器配平③关键路径法 ④乒乓操作法

【编程题】

1、用VHDL实现某一芯片的功能

2、计数并译码显示

eda技术课程总结 篇2

EDA技术涉及自动控制、信号处理、机械制造以及汽车等多个领域。EDA技术课程是一门综合性和实践性很强的专业课程, EDA技术的熟练应用需要扎实的基础知识和丰富的经验积累。EDA技术作为高校的一门课程在国内最早开设于20世纪90年代, 经过多年的发展, 已基本覆盖国内大部分高校。基于目前多数高校课程的学时设置, EDA教学的主要目标是使学生了解可编程逻辑器件的基本原理, 掌握硬件描述语言, 并能使用EDA软件工具进行基于FPGA和CPLD芯片的电子系统设计。为适应EDA技术以及高校课程改革的发展情况, 特别是“感知中国中心”在无锡的设立, 我院决定以物联网技术研究为未来主要方向之一, 这对EDA技术课程教学提出了新的要求。笔者总结了现有教学方法存在的不足, 并结合自身教学经验和感悟, 对EDA技术课程的教学提出了建议。

1 存在问题

EDA技术课程在我院是专业选修课, 安排40学时, 其中课堂教学24学时, 实验教学16学时。课堂教学内容主要包括可编程器件基础、硬件描述语言以及软件开发平台使用三大部分, 其中硬件描述语言为课堂教学的主要部分。实验教学以现有FPGA实验箱为平台, 以验证性实验和单元电路设计为对象, 在实验室完成程序编写和调试。课程考核成绩由理论成绩和实验成绩两部分构成, 各占50%。经过多年的实践观察, 现有教学方法暴露出一些不足。

1.1 课堂气氛沉闷

在硬件描述语言的教学中, 编程方法和语法的学习本身比较枯燥, 学生在基本掌握各种语句后, 对编程技巧的进一步提高难以通过课堂学习完成, 导致学生学习兴趣下降, 上课积极性不高。同时, 现有EDA技术课程一般采用多媒体教学, 课堂上播放大量的程序文稿, 师生间的交流和互动较少, 致使课堂气氛沉闷, 教学效果差。

1.2 教学模式层次不明确

EDA技术课程教学包括课堂教学和实验教学。受学时限制, 学生课堂学习以掌握硬件编程语言为主, 对可编程逻辑器件硬件结构以及软件平台使用流程只能基本了解。实验学习主要包括, 熟悉EDA软件平台使用, 常用语法和语句的验证性实验和简单的电子电路设计。本课程教学难度整体偏低, 学习方法以理解性学习为主, 创新性不够, 不能进一步发挥学生的主观能动性。

1.3 考核成绩拉不开档次

在本课程考核中, 课堂考核的签到和作业方式难以精确区别学生的学习效果;而实验教学由于内容比较简单, 大部分学生能正常完成实验, 实验成绩拉不开档次。许多教师在评分环节加入学习态度、实验报告整洁度等主观性比较强的判断因素, 这导致最终的考核成绩不够合理。有些学生感到, 自己平时在这门课程花费的时间和精力明显比另外一名学生多, 可考核成绩却基本相同, 这使他们感到不公平。

2 教改思路

针对以上问题, 我们在不增加学时的情况下, 将课程教学调整为课堂教学、实验教学和实践教学三个部分。课堂教学14学时, 实验教学16学时, 实践教学10学时。

课堂教学以掌握基本的概念、硬件描述语言以及熟悉EDA设计流程为目标。实验教学增加可编程逻辑器件的认识实验和EDA软件平台认识实验, 然后以单元电路的验证性实验为主, 实验题目要预先安排和合理设置, 以使各单元电路可以组合成一个电子系统, 最后一个实验为综合性实验, 学生可在前面单元电路实验的基础上, 使用层次化的EDA设计方法完成一个简单电路系统的设计。实践环节以学生为中心, 以3~5人为一个小组, 完成具体的实践任务。任课教师布置完任务后, 学生在开放性实验室自主完成, 期间任课教师负责答疑指导。

上述三个环节层次分明, 课堂教学和实验教学为基础知识学习环节, 通过前两个环节的学习, 学生可以基本掌握EDA技术的基础知识以及基于大规模可编程逻辑芯片的电子电路开发流程。实践环节以综合提高为目标, 要求学生查阅各种参考资料, 制订系统设计方案, 培养发现问题、分析问题以及解决问题的能力, 掌握EDA实际开发技能。

EDA技术课程的最终考核成绩由上述三个环节的考核成绩构成, 其占比分别为40%, 30%和30%。课堂学习成绩通过考勤和专题小报告进行评价;实验环节通过实验报告进行评价;实践环节以小组答辩形式进行评价, 小组各成员要说明其在整个系统设计过程中负责的任务, 现场演示系统工作原理, 教师对其提问以判断其掌握程度。

3 教改方法

上述三个教学环节逐步递进, 环环相扣。为顺利实施并取得好的教学效果, 我们应从以下几个方面改进教学方法。

3.1 上好第一堂课, 激发学生学习兴趣

兴趣是学生学好一门课程的重要前提[2]。美国著名教育学家杰罗姆·布鲁纳提出, 学习是学习者主动形成认知的一个过程。心理学对兴趣作了界定:兴趣是指一个人经常趋向于认识掌握某种事物, 并且有积极情绪色彩的心理趋向, 是一种强大的内趋力。因此, 学习本身是一个主动的过程, 没有兴趣的学习过程称不上是真正的学习。我国宋朝张载有一句名言:“人若志趣不远, 心不在焉, 虽学无成。”

在EDA技术课堂教学中, 上好第一节课对培养学生的学习兴趣至关重要。作为一门应用性很强的课程, 教师应注重理论联系实际, 启发学生积极思考, 认识所学新知识的应用环境, 并举一反三, 建立新知识和学生已具备的知识的联系纽带。例如:对于单片机技术、电气控制与PLC应用以及EDA技术这三门课程, 多数学生的第一感觉是, 它们的主要内容是编程, 至于其在具体环境下如何选择应用并不清楚。我们以曲柄连杆机构的控制为例, 让学生充分认识基于FPGA芯片的控制器在高速运算方面的优势。曲柄连杆机构把旋转运动转变为直线运动[3], 为使直线运动速度恒定, 必须控制每个旋转角度下的转速。把360度圆周分得越密, 要求控制器的运算速度就越快, 从毫秒提高到微秒, 再到纳秒, 这时PLC和单片机的运算速度就达不到要求了, 而FPGA的优势就显而易见。通过这个例子, 学生对EDA技术这门课程有了深刻的认识, 并期望进一步探究, 亲自验证所设计的电路系统是不是果真如此, 这大大增强了学习者的学习兴趣, 提高了学习信心, 对后续课程的学习有积极的促进作用。

3.2 采用实例教学法, 开展硬件描述语言讲授

硬件描述语言与汇编语言、C语言等虽存在本质上的不同, 但其学习内容都包括文字规则、数据类型、编程语句以及函数等多个部分。在学生已经学过某种语言后, 如果按照传统的顺序逐步讲解硬件描述语言的各个部分, 多数学生的第一感觉是炒冷饭, 因而不重视此部分的学习。

采用实例教学法结合任务思想讲解硬件描述语言知识, 可以有效改善上述不足。实例教学法是教师依据教材内容和教学要求选取合适的题材, 把关键的知识点融合在一起, 形成典型实例, 通过对实例的讲解, 使学生掌握其中的知识点[4]。如在文献[1]中, 三种不同的编程方法实现了相同的数据选择器功能。学生通过电路设计, 掌握了并行语句和顺序语句的用法, 学习了WHEN_ELSE结构语句、IF语句、赋值语句以及进程语句的基本用法。另外, 学生通过实例进一步了解到硬件描述语言使用的灵活性, 即不同的语句可以实现相同的目的, 使用最简单及综合效率最高的语句进行编程将是学习的目标。以此为例, 教师可以归纳总结, 设计多个典型实例, 每个实例需包含EDA设计输入、综合、适配、仿真、编程下载和硬件测试的全过程, 使学生通过这些实例的学习, 基本掌握EDA硬件描述语言的用法。同时, 这种教学方法也是前述课堂教学环节学时压缩的必要手段。

3.3 积极利用网络资源, 紧跟学科发展前沿

E D A技术的发展基于微电子技术和计算机技术的发展, 而这两种技术是当今世界发展最快的两个。课堂教学中使用的教材的更新速度远远滞后于新技术和新工具的发展速度。利用网络教学资源, 及时关注国内外电子元器件和电子技术应用知识, 跟踪学科发展进程, 对及时更新和补充教学内容是一种重要的辅助手段。这不仅可以使学生实时掌握先进的电子电路设计方法, 及时了解本学科最新发展动态和应用热点, 还对培养学生的创新思维和创新能力有积极作用。

网络教学资源为EDA技术课程学习提供了大量的资源。目前关于EDA技术的网站非常多, 但基本上可分为三种。第一种是各主流芯片厂商的公司网站, 如Xilinx公司和Altera公司的网站, 其中文网址分别是:china.xilinx.com和www.altera.com.cn。这两家公司占据了FPGA芯片80%以上的市场份额, 其网站提供了最新的元器件和开发工具信息。第二类是一些电子技术学习网站, 如www.21ic.com, www.eda-china.com和www.edabbs.com等。这些网站不仅包含了芯片、技术论文和应用实例等大量学习资料, 还设有专业的技术论坛, 给学习者提供互相交流的学习平台。第三类网站是实验设备开发公司的网站, 如杭州康芯电子有限公司网站www.kx-soc.com, 该网站不仅包含相关实验系统的使用说明, 还包含国内一些经典教材的教学课件, 可为学生和教师提供大量的学习资源。

3.4 进行学习投资, 购买FPGA开发板

丰富的学习环境和真实的学习材料, 不仅能帮助学生更好地掌握知识和技能, 还能加深其对所学知识和技能的认识与应用。对于EDA技术这类“软件”和“硬件”紧密结合的课程, 实践环节的训练是理论知识和实际操作融会贯通的重要渠道。随着可编程器件的广泛应用, 学习用开发板的价格已经降到大部分学生能够负担得起的程度。我们建议学生在经济条件允许的情况下, 购买一块属于自己的FPGA开发板, 它可以降低学生对EDA技术课程的陌生感, 并且可以成为学生学好该课程的重要工具[5]。

目前FPGA开发板的种类繁多, 价格从几百元到上千元不等。学习用开发板的选购可从以下几个方面考虑。 (1) 核心板芯片类型。主流公司Xilinx和Altera的芯片种类多, 涵盖从低端到高端多个档次的芯片, 学习者可根据价格和功能进行选择。 (2) 使用方向。学习者可根据主要是用于控制器设计、通信算法, 还是图像处理等不同方向, 结合芯片说明书进行选择。 (3) 开发板所附学习资料丰富程度。作为初学者, 多看一些典型电路的程序实例、调试验证, 并和自己的设计进行比较, 是快速提高EDA技术的一条捷径。另外, 我们还可以从电路板外设类型、元器件质量、外观精细度和售后服务等多方面考虑。

3.5 制定公平有效的考核方法

EDA技术作为一门选修课, 同时又具有非常强的实际应用性, 传统教学模式中的试卷加实验报告的考核形式, 难以公平有效地评价学生的学习状况[6]。在新的教改思路下, 我们制定了新的评价机制。专题小报告成绩是课堂评价的一部分, 报告题目由任课教师拟定。由于EDA技术应用十分广泛, 教师可以根据学生人数拟定对应数目的题目, 让每个学生都有自己独一无二的题目。另外, 教师要对题目合理设置, 注意锻炼学生查资料、整理提炼思路、组织文字以及论文排版格式等多项能力。实验环节和实践环节的评价以督促学生端正态度、学以致用以及完成教师布置的任务为目的。为做到尽量公平、公开地对学生的劳动成果进行评价, 教师可根据学生设计制作成功与否、完成的程度进行评分。例如:学生基本完成任务书所提要求, 成绩可评为合格;在此基础上积极思考和比较, 发现不足并提出建议, 可评为良好;进行硬件和软件电路修改, 改进不足并调试通过, 可评为优秀。在这样一种无形引导与激励下, 学生慢慢学会了关注自己、关注他人、尊重劳动, 也深化了师生沟通。学生会主动找教师讨论调试中遇到的问题, 积极思考解决办法。多数学生在成功调试出所设计的电路系统后, 体验到了成功的喜悦, 进一步激发他们的实验兴趣、学习热情和创造热情。

4 结束语

笔者在自己所教班级中进行了两个学期的试验, 实施新教学方法后, 最明显的改变是, 学生对EDA技术课程的学习兴趣大大提高, 课堂气氛活跃, 学生能主动结合自己身边的电子产品进行思考。特别是在实践环节中, 学生的想象力和创新能力得以施展, 笔者经常被学生提出的具有挑战性的问题难倒, 深刻感受到教学相长的含义。另外, 随着学生掌握的EDA技术知识的增加, 部分学生已积极参与到教师的科研活动中, 为今后进一步学习打下了坚实的基础。

摘要:根据EDA技术课程本身的特性, 分析了现有教学存在的问题及产生的原因, 提出了一种包括课堂教学、实验教学和实践教学三个环节的新型教学方案, 并从教师和学生两个角度给出具体的教改方法。新方案不增加教学学时, 易于实施, 实际教学效果良好。

关键词:EDA技术,教学改革,高等教育

参考文献

[1]潘松, 黄继业.EDA技术实用教程[M].北京:科学出版社, 2013.

[2]陈晓华, 崔琳.培养大学生学习兴趣, 提高本科教学质量[J].教育探索, 2010 (3) :94-96.

[3]郑文纬, 吴克坚.机械原理[M].北京:高等教育出版社, 2012.

[4]阚玲玲, 张秀艳, 梁洪卫.“EDA技术与应用”课程教学内容的定位和改革[J].电气电子教学学报, 2010, 32 (3) :27-28.

[5]王媛媛.浅谈FPGA应用与设计实践教学与改革[J].高校实验室工作研究, 2012 (3) :52-53.

eda技术课程总结 篇3

关键词:EDA技术;教学改革;项目教学;互联网+

【中图分类号】G642.0; 文献标识码:A

一、引言

EDA(Electronic Design Automation,EDA,电子设计自动化)技术是一门发展迅速、工程性强、须紧密结合技术发展前沿的现代电子设计技术课程。它是以计算机科学和微电子技术发展为先导,汇集了计算机图形学、拓扑逻辑学、微电子工艺与结构学和计算数学等多种计算机应用学科最新成果的先进技术。目前《EDA技术》已成为各高校电子信息类专业的核心专业课程。本课程在专业培养目标中具有承上启下的桥梁作用,是引领学生进入现代电子设计领域的必修课程。

随着社会经济、科技的迅猛发展,高校的人才培养要求也随之发生了很大变化。2010年颁布的《国家中长期教育改革和发展规划纲要(2010~2020年)》也特别强调要通过“遵循教育规律和人才成长规律,深化教育教学改革,创新教育教学方法,探索多种培养方式,形成各类人才辈出、拔尖创新人才不断涌现的局面”,以及“注重学思结合,倡导启发式、探究式、讨论式、参与式教学,帮助学生学会学习,激发学生的好奇心,培养学生的兴趣爱好,营造独立思考、自由探索的良好环境”等。很多学者对高校人才培养的问题进行了深入分析并提出解决问题的思路 [1-3]。

二、教学内容改革

《EDA技术》课程是一门理论与实践并重,工程性、综合性、实践性很强的课程。该课程包括五大部分:第一部分主要以原理图输入方式为例介绍EDA的开发流程和软件操作过程;第二部分主要介绍采用VHDL语言进行EDA的开发,包括VHDL语言结构、常用组合逻辑电路和时序逻辑电路的设计;第三部分主要介绍利用宏功能模块进行程序的开发方法;第四部分介绍有限状态机的设计;第五部分是电子技术综合设计。

三、教学方法和手段的改革

学生是高校、教师、学生这三个人才培养的基本要素的中心,成为教学的主体[6]。为了提高学生分析问题、解决问题的能力,培养学生的团队合作能力和师范能力,充分发挥学生的主观能动性,激发学生的求知欲望,教学过程中采取以学生为本的教学理念,加强课堂参与度,有效利用互联网资源。在教学过程中主要采用多种教学方式。

(1)结合CDIO 的教学方式

CDIO(构思(Conceive)、设计(Design)、实现(Implement)和运作(Operate))工程教育模式以产品研发到产品运行的生命周期为载体,让学生以主动的、实践的、课程之间有机联系的方式学习工程[7]。对于电子系统综合设计采取学生课下设计、课堂交流的方式。学生充分利用互联网资源,对教师在课堂上布置的题目或自选题目以小组为单位进行设计。小组内学生以CDIO 的要求对题目进行构思,设计,实现和运作,从工程的角度来实现系统。

(2)课堂讲授与学生自学相结合的方式

重点知识在课堂讲授,需要学生了解和扩展性内容由学生自学,提高他们的自主学习能力,激发学习欲望。本课程中可编程逻辑器件基础及其应用一章,由于芯片内部结构图片较多,而且较大,因此该部分内容的视频和PPT通过网络传给学生,让学生自主下载学习。

(3)现代教育技术应用与教学改革

该门课程充分利用网络资源,采取的措施主要有:教师提供给学生主要的学习网站,学生通过网络进行自主学习;学生和老师通过QQ或微信就学习中存在问题进行交流,以提高时效性;教师建立了QQ群,将一些视频,软件和学习资料放到群中,供学生学习下载,学生编写的程序及调试的视频也可以通过QQ传给教师。

四、实践教学与创新能力培养改革

实践教学是工科院校重要教学环节。本课程设置相应的实验、上机等实践性教学,该课程设置20学时实验,同时还安排了2周的课程设计。

(一)课内实验改革

(二)在实验中,减少验证性的实验内容,增大设计性、综合性的实验内容;在每个实验设计中,都增加一些加宽、加深的内容,希望那些基础好、动手能力强的学生学到更多知识,创新能力得到提高。

(二)创新能力培养

要培养学生的创新能力,创设和营造有利于创新人才成长的条件和环境是关键。在学生的“EDA技术” 课程创新能力培养方面,主要采取的措施如下:

充分利用EDA技术实验室,进行综合系统设计和SOPC系统设计。EDA技术的高级应用是利用FPGA等芯片设计SOPC系统,而由于课时有限,在课上教师将采用FPGA芯片如何实现SOPC系统的方法及进行讲解,供有能力的学生进行自主开发设计。

(三)课程设计

EDA技术课程设计是EDA技术课程综合性实践教学环节。在该课程设计的题目拟定中,采取以学生学习的需要和兴趣为中心,重在所学知识的综合运用和实际问题的解决,学生可以自行拟定题目也可以选择教师推荐的题目,要求题目要有一定的综合性和创新性。

五、教材使用改革

EDA技术是一门应用性较强的课程,主要通过理论教学、实验、实训和课程设计4个环节来提高学生的技能水平,将这4个教学环节融为一体即“四位一体”教学法。这也是我校长期为社会培养高技能应用型人才过程中总结出来的一套行之有效的教学方法[8]。结合本校学生的实际,教材选用了本校教师编写的清华大学出版社出版的《EDA技术基础与实验教材》。该教材将理论和实验充分结合,为实训和课程设计提供了素材,体现了“四位一体”的教学理念。

七、总结

随着社会的发展,科技的进步,高校人才培养要求的变化,传统的培养方式和授课方法已经不能满足学生实践能力、创新能力培养的要求。本文探讨了EDA技术课程的教学改革方法,提出了教学过程中注重学生知识运用能力和创新性思维的培养,采用CDIO式、项目式等教学方法,加强互动性,提高学生的参与的积极性和自主学习的能力,充分利用互联网资源,使学生从被动学习到主动学习,切实提高学生的实际动手能力和创新意识。教学实践证明,提出的改革方法取得了很好的教学效果,得到学生的一致认同。

参考文献:

[1] 董泽芳. 高校人才培养模式的概念界定与要素解析.大学教育科学[J].2012.3:30-36

[2] 张典兵.论高校创新人才培养的几个基本问题.教育现代化[J]2015.5:52-57

eda技术课程总结 篇4

湖北师范学院电工电子实验教学省级示范中心电子版实验报告

什么什么设计(研究)

红色部分提交时请删除!!

题目:“什么内容”的设计或“什么内容”的研究,例如: 基于FPGA的数字抢答器设计 基于FPGA的等精度数字频率计设计 Verilog HDL同步时序电路研究 一种简易数字频率计设计

基于FPGA的DDS信号发生器的设计

更多参考“大学生电子实验室”论坛设计选题指南 一.任务解析

根据对设计选题的理解,明确要做什么,要达到什么要求(参数、指标)。二.方案论证

对所要完成的设计任务,参考相关资料,提出设计方案,拿不同方案进行对比分析,选择你能够实现的方案,并明确指出为什么要选择此方案,较其它方案有何优点。三.实验步骤

方案的具体实施,按实际实施过程认真做好原始记录,可以包括单元电路仿真分析,部分指标测试(实际效果)等等,描述演示效果要明确所用设备,说明实验箱,使用了什么仪器等。四.结果分析

对所测试结果(演示现象)做分析,得出结论(描述现象)。五.经验总结

对完成任务情况进行总结,是否达到预期的设计,效果如何,还有哪些可以改进的,改进建议,特别是错误分析。

如果是自己独立完成的,我相信一定会有很多心得体会可以总结的,挫折的苦恼,成功的喜悦。如果你完成了一个设计性实验,一点体会都没有,那么我相信你一定是走捷径完成了任务,而没有真正独立完成本设计任务!老师批改报告,往往把学生的心得体会看成一个亮点。心得体会一定要认真写,把自己做设计性实验的过程认真总结,让老师感受到你是一步一步完成该设计性实验选题的。

EDA课程设计 电子琴 篇5

----结题报告

学号:110342241

姓名:章译文

一.设计要求

1.能发出1234567基本音。

2.能自动播放《偶像万万岁》和《梁祝》。3.能在数码管上显示弹奏时的音名。

二.设计原理分析

1.音阶的获得

系统要求要求用7个按键控制发声,我们用7个键盘来控制产生低音(或中音)段的7个音阶频率。所有的音名频率都是通过一个基准频率经过分频得到的。但部分分频过大的音频直接输出给扬声器,频率信号脉冲的占空比会非常窄,不能驱动扬声器工作。于是,我们设计了一个“带有预置数的计数器”来简化整个设计过程。将原频率分频成期望值的2倍频率信号。再通过二分频,驱动扬声器工作。

简易电子琴控制流程图

2.MUSICAL_NOTE内部电路

MUSICAL_NOTE内部电路

使用MUSICAL_NOTE电路,实现初值可变的计数器,并对音阶实现二分频,使电子琴实现正常发音。

按键播放部分电路

3.自动播放功能的实现

自动播放部分电路

Hebing.mif文件(偶像万万岁&梁祝)

歌曲长度为352位,利用三个74261设计0~352的计数器,自动寻址,将音频导入lpm_rom,与MUSICAL_NOTE相互作用,实现自动播放。

按键除颤电路原理图

作为机械开关的键盘,在按键操作时,机械触点的弹性及电压跳动等原因,再触点闭合或者开启的瞬间会出现电压的抖动,如果不进行处理就会造成误操作。按键去抖动的关键在于提取稳定的低电平状态,滤去前沿后沿的抖动毛刺。4.在数码管上显示弹奏时的音名

显示部分电路图

Display内部电路

电路中的74161是16进制的计数器,它的低三位接到3—8译码器模块74138的地址输入端,这样就可以实现74138的8个输出端轮流输出低电平,恰好用来控制“位码”DIG7~DIG0。LPM_MUX是QuartusⅡ中的宏模块,用来实现多位的“多选一”,它的数据位数可以以及数据的数量可以任意设定。

电路中使用了与非门,实现发音与现实同时实现,在此要注意的是,因为使用了与非门,静态字符现实数码管管脚的设定值应该与原值相反。

三.设计原理图

简易电子琴原理图

根据以上分析,将三个模块组合,便得到了简易电子琴的整体设计。在整体设计的过程中,要注意三个模块之间的关系,使其能够相互作用,正常发音和显示。

四.实验总结 在这三天的实验过程中,通过对于实验目的的分析,有目标的查找资料,研读教材,在分清楚模块的基础上,写下了实验计划,规划好时间,确定每天要做的事。在实验的第一天,实现了按键发音,同时,也基本弄清楚了自动播放的原理,有了设计思路,第二天上午,完成了自动循环播放的功能,下午在同学的帮助下,确定了数码管显示的基本思路,通过对于以前知识的复习和查找,成功实现了数码管的显示功能。

在实验的过程中,也遇到很多的困难。在自动播放的时候,由于没有修改hebing.mif的进制,音乐总不能正常播放。还有就是在数码管显示的时候,一开始没有弄清与非门的作用,显示的数字总是反着的。后来经过冷静的分析,在老师和同学的帮助下,成功完成了电子琴的设计,实现了所有的功能。当然,设计也还有很多可以完善和改进的地方,在以后的学习过程中,我会更加用心,多做,多思考。

eda课程设计最终30个题目 篇6

1)设计一个能测量方波信号的频率的频率计。2)测量的频率范围是0999999Hz。3)结果用十进制数显示。乒乓球游戏机

1)用8个发光二极管表示球;用两个按钮分别表示甲乙两个球员的球拍 2)一方发球后,球以固定速度向另一方运动(发光二极管依次电量),当球达到最后一个发光二极管时,对方击球(按下按钮)球将向相反方向运动,在其他时候击球视为犯规,给对方加1分;都犯规,各加1分; 3)甲乙各有数码管计分

4)裁判有一按钮,可系统初始化,每次得分后,按下一次 彩灯控制器

1)设计一个彩灯控制器,使十个彩灯(LED管)能连续发出四种以上不同的显示形式(如奇数依次亮),;

2)随着彩灯显示图案的变化,发出不同的音响声(可用不同频率的矩形波产生)。速度表

1)显示汽车时速Km/h 2)车轮每转一圈,有一个传感脉冲;每个脉冲代表1m的距离 3)采样周期设为10S 4)*要求显示到小数点后2位 5)数码管显示 6)*超速报警 拔河游戏机

1)设计一个能进行拔河游戏的电路。

2)电路使用15个(或9个)发光二极管,开机后只有中间一个发亮,此即拔河的中心点。3)游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。

4)亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。5)*用数码管显示获胜者的盘数 数字跑表

1)具有暂停/启动功能 2)具有重新开始功能

3)用6个数码管分别显示百分秒、秒和分钟 4)能计两个人跑步时间,并能选择显示 电梯控制器

1)5层电梯控制器,用5个led显示电梯行进过程,并用数码管显示电梯当前所在楼层位置,每层电梯入口处设有上楼和下楼请求按钮,按下按钮,则相应楼层的led亮

2)电梯到达请求楼层,相应的请求led灯灭,电梯门打开,开门5S,电梯自动关门,继续上行(下行)

3)每层设有电梯上行和下行指示灯 4)能记忆电梯内部的请求信号 篮球计分器

1)具有30秒计时、显示

2)可对计时器清零、置数、启动和暂停 3)30秒倒计时

4)两个数码管显示两队比分 5)超时报警并可解除报警

9电子琴

1)设计一个简易电子琴;

2)利用一基准脉冲产生1,2,3。。共7个音阶信号; 3)用指示灯显示节拍; 4)*能产生颤音效果。自动售货机

1)出售1元 1.5元两种商品 2)投币只能投入五角和1元硬币 3)可找零 步进电机控制器

1)设计一个有三相六拍和三相三拍两种工作方式的脉冲分配器 2)能控制反转和正转

3)能显示步数和控制电机转到预订步数 电子密码锁一

1)设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;

2)在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁; 3)从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。

13电子密码锁二

1)具有上锁键和开锁键,每次上锁之前要先按上锁键,然后自设密码(3位),开锁时要

先按开锁键,然后输入上锁时设定的密码开锁 2)用led灯亮灭代表开锁和上锁 3)密码错误报警并锁定电子锁 数字秒表

1)计时精度达到10ms,计时范围59‘59.99“ 2)数码管显示

3)可停止,继续,重新计数 数字钟

1)设计一个能显示1/10秒、秒、分、时的12小时数字钟。2)熟练掌握各种计数器的使用。

3)能用计数器构成十进制、六十进制、十二进制等所需进制的计数器。4)能用低位的进位输出构成高位的计数脉冲。电子日历

1)能显示年月日和星期 2)年月日和星期都可调 3)*注意闰年 洗衣机控制器

1)设计一个电子定时器,控制洗衣机作如下运转:定时启动正转20秒暂停10秒反转20秒暂停10秒定时未到回到“正转20秒暂停10秒……”,定时到则停止; 2)若定时到,则停机发出音响信号;

3)用两个数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直到时间到停机;洗涤过程由“开始”信号开始;

4、三只LED灯表示“正转”、“反转”、“暂停”三个状态。18 波形发生器

1)可产生三角波、方波 2)波形频率、占空比可调

19乐曲演奏

1)设计一个能发出7个音阶的系统并自动播放一首歌曲(歌曲可自定)出租车计费器

1)设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99。99元;

2)行车里程单价1元/公里,等候时间单价0。5元/10分钟,起价3元(3公里起价)均能通过人工输入。

3)行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每100个脉冲表示1公里,然后用BCD码比例乘法器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置。例如单价是1。0元/公里,则脉冲当量为0。01元/脉冲。4)用LED显示行驶公里数,两个数码管显示收费金额。数字式竞赛抢答器

1)设计一个可容纳6组(或4组)参赛的数字式抢答器,每组设一个按钮,供抢答使用。2)抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。3)设置一个主持人“复位”按钮。4)主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出2~3秒的音响。

5)设置一个计分电路,每组开始预置100分,由主持人记分,答对一次加10分,答错一次减10分。序列检测器

1)可检测连续的数字序列,当输入的数字序列连续八个值等于一组串行码(如00011101)时输出高电平并报警 2)串行码的值可设定 交通灯

设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。

1)红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号。2)主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。

3)主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路。

4)在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。

24步行街道自助式交通灯控制器的设计 1)正常情况下保证主干道畅通;

2)当步行街上的行人要穿过主干道是,通过设置的按钮发出请求; 3)按钮被按下时,主干道变为黄灯,设置计数器计时时间3秒;

4)计时结束,主干道变为红灯,计数器继续计时(计时时间为30秒),在Y秒内若有人再次按按钮,计数器不重新计时;

5)步行街绿灯闪烁时间为5秒,25秒后主干道变为绿灯,车辆通行。为保证车辆通行时间,在30秒内行人按钮无效。通行时间超过30秒后,若有行人按下按钮,则回到(3); 可变模式计数器设计

1)设计模为 4、8、12、16的可变计数器 2)在控制信号的控制下实现变模计数 ADC采样控制器

1)设计程序对AD转换器ADC0809的采样过程进行控制 数码管动态扫描电路

1)8位数码管同时显示电路显示8个16进制数 2)用动态扫描方式实现

28点阵式led显示屏控制电路设计 1)控制16*16点阵显示字母或汉字

2)预置四个字母或汉字连续显示(内容自定)病房呼叫系统

1)用1~5个开关模拟5个病房的呼叫输入信号,1号优先级最高;1~5优先级依次降低;2)用一个数码管显示呼叫信号的号码;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示);3)凡有呼叫发出5秒的呼叫声;4)对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理 脉冲按键电话按键显示器

1)设计一个具有8位显示的电话按键显示器; 2)能准确地反映按键数字;

eda技术课程总结 篇7

近年来, 全国各高校都开设了EDA技术的教学和实践课程。对高职电子专业的学生而言, 数字电子技术课程设计是学生在学习数字电子技术理论课程后进行的一次综合性训练, 其目的是培养学生综合运用所学理论知识的能力、独立设计电子产品的能力及对电子产品实际安装调试的能力。学生从原理图设计开始, 一直做到样机调试成功, 经历整个电子产品的设计、开发过程, 所以, 将传统课程设计与EDA技术训练相结合, 使学生对该技术在电子设计中所起的作用建立整体的认识, 能对学生综合能力的培养有所帮助。

课程设计的总体思路

课程设计过程

数字电路课程设计的过程主要分为两个阶段:一是应用Multisim仿真设计电路。在学生根据设计课题拟定初步方案后, 要求他们先在电路仿真与分析软件Multisim平台上对所设计的电路进行仿真, 观察电路功能是否满足设计要求, 主要元器件参数对分析电路指标的影响, 在Multisim平台上调试电路使之达到技术指标, 为实践做准备。二是应用Protel设计印刷电路板。在Multisim仿真后, 要求学生应用Protel设计软件设计出PCB印刷电路板图。PCB版图必须布局合理, 符合电气布线规则。总体过程可用流程图 (见图1) 表示。

课程设计时间安排

课程设计安排两周时间。第一周, 安排学生自行查阅资料, 进行基本电路设计, 计算相关电路参数。对于学生设计所用的元件, 出于成本的考虑, 在设计过程中要求学生尽可能地采用实验室的器件, 教师应尽量增加器件的种类供学生挑选, 其他的特殊器件均由学生在给定的经费额度内自行采购。学生完成电路的理论设计以后, 画出理论设计的电路图, 给出有关设计依据, 并由Multism 9.0软件仿真通过后交指导教师审核, 再利用Protel DXP软件进行印刷板设计, 由于是自行加工制作, 所以工艺上要求设计成单面板。这样, 一方面, 培养了学生工程设计的成本控制意识;另一方面, 也给学生熟悉市场的机会。第二周, 学生在完成以上工作后, 进入实验室制作电路板, 对腐蚀后的电路板进行打孔, 最后完成元器件的焊接、电路调试等工作。最后安排两天的时间进行课程设计报告的编写和答辩。

课程设计评分

课程设计评分分为设计报告和设计功能实现两部分。评分指标如下: (1) 设计报告30分; (2) 作品功能70分, 分成以下几个评分点:印刷版布线10分;焊接技术10分;电路功能50分 (实现功能20分, 其余基本功能每完成一部分给10分) 。发挥部分考虑到能完成的学生不多, 只把此部分功能的实现作为额外计分的因素。以上的评分指标明确了评分的要点, 从而引导学生在设计过程中不仅要注意理论设计, 而且要注意追求科学合理的电路工艺和良好的电路性能指标, 促使学生在电子技术基本技能和电路设计能力方面得到全面和系统的训练, 以达到提高学生综合能力的目的。

课程设计的教学实践

以下是我院应用电子技术专业一次“六路智力竞赛抢答器”课程设计的全过程。

设计要求:

可同时供6名选手进行比赛, 各用一个抢答按钮, 按钮的编号分别与选手的编号相对应;给节目主持人设置一个控制开关S, 用来控制系统清零和抢答开始;抢答器具有数据锁存和显示功能, 抢答开始以后, 若有选手按动抢答按钮, 编号便立即锁存, 并在LED数码管上显示选手的编号, 同时, 扬声器发出音响提示。此时, 输入回路封锁, 禁止其他选手抢答。优先抢答的选手编号一直保持到主持人将系统清零时为止。

发挥部分:

参赛选手在设定的时间内抢答有效, 显示器上显示选手的编号和抢答的时间, 并保持到主持人将系统清零时为止。根据设计意图可以确定设计框图 (如图2所示) 。

1.在多媒体机房中, 根据设计要求利用Multism 9.0设计电路原理图, 并通过Multism 9.0自带的仿真仪器对所设计电路进行仿真分析, 逐步改进电路, 直至达到设计要求。在这里抢答部分采用的是一个74ls148编码器对选手抢答信号编码, 并通过74ls175D功能数据锁存器锁存, 最后经4511译码后驱动7段LED共阴数码管显示。同时74ls30形成锁存脉冲控制D功能数据锁存器锁存信号;音响报警部分由555振荡器完成。 (如图3所示)

2.利用在Multism 9.0中生成的网络表, 导入到Protel Dxp软件中设计PCB电路板 (如图4所示) 。在生成网络表时, 要注意自制元件封装与原理图中的名称一致, 在此自制了按钮和数显的封装, 方法是拿实物在万能板上进行尺寸比对, 由于万能板的两个孔距为标准的100mil, 所以, 无需特殊的测量工具就可完成元件的封装测量。我们设计的走线线宽为20mil, 焊盘的直径为60mil。事实证明, 该尺寸是手工制板的最低数据, 若低于该数据, 在腐蚀的时候很容易断裂。当然, 在满足安全间距的条件下, 可以尽可能地加大线宽和焊盘直径。由于设计的是单面板, 不可避免会出现无法布通的线路 (红线) , 可以在最后装配的时候在元件面用跳线来连接。

3.在电子制作实验室中, 学生将打印出来的电路板图通过电路板制作设备制作成实际电路板 (如图5所示) 。打孔完毕后, 再用流动的自来水清洗, 然后刷上酒精松香溶液并用吹风机吹干, 对于一些腐蚀过度断裂的线路, 可采用拖锡的方法补好。

4.在电子制作实验室中, 学生学习各种元器件的选择、常用工具与仪器仪表的使用以及练习焊接技术, 最后完成整机组装, 并通电测试是否达到设计标准 (如图6所示) 。

5.在课程设计实验室中, 学生组装调试所设计的电路, 使用自己所学的方法分析和排除电路故障, 并撰写课程设计报告。

课程设计的教学效果和启示

通过几年课程设计的实践, 对抢答器、数字温度计、数字钟、交通灯控制器等设计课题, 采用EDA软件指导学生进行电子技术课程设计, 取得了较好的教学效果。课程设计过程培养了学生的竞争意识、创新意识, 提高了学生的计算机应用水平, 学生在学习方法、遵守纪律、团结协作、创新能力、独立分析问题与解决问题的能力、写作和语言表达能力、吃苦耐劳和踏实严谨的作风、言行举止和文明礼貌等方面都受到很好的锻炼和培养。同时, 我们也从中获得了一些启示。

教学内容要先进、新颖、实用

课题内容应涉及理论课中学到的各种规模集成器件, 这样可以调动学生的学习热情, 提高学生的学习兴趣, 发挥学生的主观能动性和积极性, 而且可以促进教师不断学习, 更新知识结构, 真正做到教学相长。

设计过程的优化

数字电子技术课程设计是一个循序渐进的过程。在这一过程中, 每一个阶段的成功与否, 对下一阶段乃至整个课程设计是否达到预期效果都起着非常重要的作用。在整个设计过程中, 教师主要应侧重于三个方面:方案设计、安装调试、撰写报告。这样, 可使整个设计过程起点高、要求严、效果好。同时, 要遵循“教师主导, 学生主体, 训练为主”的教学思路, 以便在整体上形成最佳的教学组合。

自主学习能力的培养

数字电路课程设计从查阅资料、提出初步方案到完善方案, 从原理图的仿真、实施以及设计的完成到写出设计报告, 整个过程都要求学生自己动手。教师可定期组织学生进行讨论, 指导学生在自主学习过程中发现问题、解决问题, 进一步培养学生分析、解决问题的能力, 培养学生的团结协作精神, 充分激发学生的学习主动性。

重视课程设计报告的撰写

培养学生科技论文写作能力, 重视课程设计后期的总结工作, 不仅可以培养学生良好的科学态度和素质, 同时, 还能使学生在总结中获得知识和经验, 培养学生科技论文撰写能力。课程设计报告的撰写包括如下的内容:设计任务、设计方案的论证与比较、具体电路的设计、元器件的选择和调试、设计工作总结等, 基本上是按毕业设计的要求完成的。设计报告总结的过程就是一个对知识深入理解和提高的过程, 可以使学生对工程设计的方法更加明确、对知识的理解更加深刻。

让答辩过程成为再学习、再提高的过程答辩时, 每个学生都要先概述自己的设计过程, 重点讲述设计过程中遇到的问题以及分析问题和解决问题的方法。然后教师提问, 学生回答。最后教师结合各组的设计情况进行点评、讲解, 同时发起讨论, 引导学生对不同的设计方案进行比较, 训练学生的综合分析问题的能力, 每个学生都可以提问、参与讨论, 提出自己的看法。通过答辩、讲评, 学生可以体会别人的设计思路, 开阔眼界, 也能从别人的设计中吸取经验教训。这样, 答辩过程就成了再学习、再提高的过程。

经过这几年的探索与实践, 笔者深刻体会到在课程设计中引入EDA技术, 设置适合的教学内容是十分必要的, 对理论教学和传统实验教学都是有益的补充。有的学生在总结时写道:“通过两周的课程设计, 不仅考查了我们对组合和时序逻辑电路的掌握情况, 同时, 也锻炼了我们使用仪器和计算机辅助设计的技能, 使学过的知识得到了复习与巩固。更重要的是把原来所学的理论知识与实际生活联系在了一起, 使学习变成了一种乐趣, 使知识形象具体地被掌握!”

通过课程设计的锻炼, 学生可以增强综合分析问题和解决问题的能力, 激发了学习兴趣和潜在能力。所以, 在教学中应当注意做到少讲多练, 使理论教学与实践紧密结合, 在实践过程中, 让学生了解和体会EDA技术在电子技术设计领域的重要作用。

参考文献

[1]徐丽香.数字电子技术[M].北京:电子工业出版社, 2006.

[2]崔建明.电工电子EDA仿真技术[M].北京:高等教育出版社, 2004.

eda技术课程总结 篇8

关键词:EDA技术;仿真;教学

中图分类号:G642 文献标识码:A 文章编号:1674-7712 (2014) 04-0000-01

EDA即(Electronic Design Automatic)电子设计自动化的英文简称,是现代电子通信工程领域的一门新技术, 随着计算机技术的高速发展与不断完善,它为电子工程师及计算机通信设计工程师提供了电子系统的一种全新的设计方法.同时在高校为实验教学提供了一种崭新的模式。掌握EDA技术是电子通信类高校学生在高科技类企业就业的一个基本条件。我校在计算机实验中心和电工电子实验中心都有EDA的实验室,到目前都没有发挥她的应有作用。

一、将EDA技术引入电子类相关课程教学的必要性

模拟电路和数字电路,是电子信息类相关专业的专业基础课程,我有幸先后教过电子系计算机系的学生,他们对理论知识通过实验仿真非常感兴趣。该课程教学效果的好坏直接影响到后读专业课程的教学。所以学好这门课也尤为重要。同时,该课程既有很强的理论性,也有很强的实践性,要学好这门课程,不是一件容易的事情。只有在有了好的教学方法、教学手段,配合一定数量的实验(含课堂教学中的演示实验)和实践环节,才能使学生领会、理解、掌握课程内容,做到融会贯通、学以致用,收到好的教学效果。然而在电子电工技术课程的教学中,过去一直存在着教学手段单一、教学方法呆板、实验资源不足等问题,致使教学效果不尽人意。

经过几年的探索与实践,我们认识到将EDA引入电子类相关专业课程教学中去,是解决教学中上述问题、提升教学质量行之有效的方法。

二、将EDA技术引入电子类相关课程教学的可行性

电子EDA技术发展迅猛,逐渐在教学、科研、产品设计与制造等各方面都发挥着巨大的作用。在教学方面:几乎所有理工科(特别是电子信息)类的高校都开设了EDA课程。主要是让学生了解EDA的基本原理和基本概念、鳞握用佃L描述系统逻辑的方法、使用扔A工具进行电子电路课程的模拟仿真实验并在作毕业设计时从事简单电子系统的设计,为今后工作打下基础。具有代表性的是全国每两年举办一次大学生电子设计竞赛活动。

三、EDA技术在电子类相关专业课堂与实验教学中的应用

EDA技术是一门新兴的电子信息技术学科。属于电子技术等基础学科与计算机技术和智能化技术的交叉前沿学科门类。该课程立足于电子硬件设计,但同时以计算机软件作为设计的工具和辅助手段。随着大规模集成电路的广泛应用,EDA已经成为电子设计的主要手段,使工程师们在高效设计的同时,可以进行精确的硬件抽象和仿真,保证产品开发的短周期和高质量。

由于本EDA课程是以可编程逻辑芯片为基础,所以,在教学中首先会介绍硬件基本知识和相关设计技术,让学生在进入后续课程的学习前,具备充分的硬件设计思想。在硬件描述语言课程的讲述过程中,同时配合相应的上机试验,加深学生的理解,使学生具备基本的EDA设计能力。同时,还会引入部分较为复杂的案例作为参考分析,使学生获得一定难度的技术知识和系统设计的思想。在整个教学过程中,学生的知识获取有相当的灵活性。在完成基本试验之外,学生可以与指导教师交流并参与相关更高难度项目设计,提高技术水平。

EDA设计的发展依附于相关硬件的技术提高和计算机技术及智能技术的发展。现在大规模的FPGA 器件已经相当普及,电路规模从最初的K(千)门级发展到现在的M(百万)门级,对应的逻辑单元也数量巨大。而制造集成电路的技术也一日千里,最新的半导体蚀刻技术已经可以达到0.09或0.06微米,保证了在同样大小的半导体材料上可以集成更多的单元。而多层金属互联和单元参杂技术的进步,产生出更多相同功能但是工作原理不同的基本单元,为大规模,低能耗,高度集成铺平了道路。FPGA内部也开始集成CPU软核或硬核,同时提供复杂DSP的专用电路IP,在某些领域,可以替代CPU+DSP,提供更高性价比的解决方案,使得SOPC的设计思想成为一个发展的方向。

相关技术的发展随时都在牵动EDA技术,我们的课堂教学内容在保证EDA技术的基本要点讲解的同时,专门安排了本课程的前沿动态的讲座,使同学能够及时掌握EDA技术发展的动态,了解由此带来的系统的新方法、新思路。同时我们也对EDA实验室进行了改造,使实验室同时具备基础实验的要求,也能够开设SOPC的前沿性设计内容的创新性实验。

四、EDA技术虚拟实验应出现的历史背景。

EDA是电子设计自动化,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

总之,随着现代电子科技型企业对综合复合性人才需要,作为培养理工科人才的高等院校,我们要通过不断地探索EDA技术可作为高等院校和高职高专院校应用电子技术、通信技术、自动化技术、电子与信息技术、机电类等专业的专业基础课教材。

参考文献:

[1]周政新,洪晓鸥.EDA电子设计自动化实践与训练[M].北京:中国民航出版社,1998.

[2]邓肖粤,胡晓云.EDA在电子技术教学中的应用[J]实验与探索,2000(12).

[3]邹尚智.研究性学习指南[M].北京:中国人事出版社,2002.

上一篇:安静的提示语怎么写下一篇:四年级小兵张嘎观后感