fpga经典笔试题答案

2024-07-25 版权声明 我要投稿

fpga经典笔试题答案(精选8篇)

fpga经典笔试题答案 篇1

1.1 FPGA设计工程师努力的方向

SOPC,高速串行I/O,低功耗,可靠性,可测试性和设计验证流程的优化等方面。随着芯片工艺的提高,芯片容量、集成度都在增加,FPGA设计也朝着高速、高度集成、低功耗、高可靠性、高可测、可验证性发展。芯片可测、可验证,正在成为复杂设计所必备的条件,尽量在上板之前查出bug,将发现bug的时间提前,这也是一些公司花大力气设计仿真平台的原因。另外随着单板功能的提高、成本的压力,低功耗也逐渐进入FPGA设计者的考虑范围,完成相同的功能下,考虑如何能够使芯片的功耗最低。高速串行IO的应用,也丰富了FPGA的应用范围,象xilinx的v2pro中的高速链路也逐渐被应用。总之,学无止境,当掌握一定概念、方法之后,就要开始考虑FPGA其它方面的问题了。

1.2 简述FPGA等可编程逻辑器件设计流程

系统设计电路构思,设计说明与设计划分,电路设计与输入(HDL代码、原理图),功能仿真与测试,逻辑综合,门级综合,逻辑验证与测试(综合后仿真),布局布线,时序仿真,板级验证与仿真,加载配置,在线调试。常用开发工具(Altera FPGA)

HDL语言输入:Text Editor(HDL语言输入),还可以使用Ultra Edit 原理图输入:Schematic Editor IP Core输入:MegaWinzad 综合工具:Synplify/Synplify Pro,Qaustus II内嵌综合工具 仿真工具:ModelSim 实现与优化工具:Quartus II集成的实现工具有Assignment Editor(约束编辑器)、LogicLock(逻辑锁定工具)、PowerFit Fitter(布局布线器)、Timing Analyzer(时序分析器,STA分析工具)、Floorplan Editor(布局规划器)、Chip Editor(底层编辑器)、Design Space Explorer(设计空间管理器)、Design Assistant(检查设计可靠性)等。后端辅助工具:Assembler(编程文件生成工具),Programmer(下载编程工具),PowerGauge(功耗仿真器)

调试工具:SignalTap II(在线逻辑分析仪),SignalProbe(信号探针)。系统级设计环境:SOPC Builder,DSP Builder,Software Builder。

1.3 Quartus文件管理

1.编译必需的文件:设计文件(.gdf、.bdf、EDIF输入文件、.tdf、verilog设计文件、.vqm、.vt、VHDL设计文件、.vht)、存储器初始化文件(.mif、.rif、.hex)、配置文件(.qsf、.tcl)、工程文件(.qpf)。2.编译过程中生成的中间文件(.eqn文件和db目录下的所有文件.tdf,.hdb,.xml等)3.编译结束后生成的报告文件(.rpt、.qsmg等)

4.根据个人使用习惯生成的界面配置文件(.qws等)5.编程文件(.sof、.pof、.ttf等)

1.4 IC设计流程 写出一份设计规范,设计规范评估,选择芯片和工具,设计,(仿真,设计评估,综合,布局和布线,仿真和整体检验)检验,最终评估,系统集成与测试,产品运输。设计规则:使用自上而下的设计方法(行为级,寄存器传输级,门电路级),按器件的结构来工作,做到同步设计,防止亚稳态的出现,避免悬浮的节点,避免总线的争抢(多个输出端同时驱动同一个信号)。

设计测试(DFT)强调可测试性应该是设计目标的核心,目的是排除一个芯片的设计缺陷,捕获芯片在物理上的缺陷问题。

ASIC设计要求提供测试结构和测试系向量。FPGA等默认生产厂商已经进行了适当的测试。测试的10/10原则:测试电路的规模不要超过整个FPGA的10%,花费在设计和仿真测试逻辑上的时间不应超过设计整个逻辑电路的10%。

1.5 FPGA基本结构

可编程输入/输出单元,基本可编程逻辑单元,嵌入式块RAM,丰富的布线资源,底层嵌入式功能单元,内嵌专用硬核。

常用的电气标准有LVTTL,LCCMOS,SSTL,HSTL,LVDS,LVPECL,PCI等。FPGA悬浮的总线会增加系统内的噪声,增加功率的损耗,并且具有潜在的产生不稳定性的问题,解决方案是加上拉电阻。

对于SRAM型器件,路径是通过编程多路选择器实现;对于反熔丝型器件,路径通过传导线(高阻抗,有RC延时)来实现的。这两种结构都显著加大了路径延时。

1.6 FPGA选型时要考虑哪些方面?

需要的逻辑资源、应用的速度要求,功耗,可靠性,价格,开发环境和开发人员的熟悉程度。

1.7 同步设计的规则 单个时钟域:

1、所有的数据都要通过组合逻辑和延时单元,典型的延时单元是触发器,这些触发器被一 个时钟信号所同步;

2、延时总是由延时单元来控制,而不是由组合逻辑来控制;

3、组合逻辑所产生的信号不能在没有通过一个同步延时单元的情况下反馈回到同一个组 合逻辑;

4、时钟信号不能被门控,必须直接到达延时单元的时钟输入端,而不是经过任何组合逻辑;

5、数据信号必须只通向组合逻辑或延时单元的数据输入端。多个时钟域:

把通过两个不同时钟作用区域之间的信号作为异步信号处理

1.8 你所知道的可编程逻辑器件有哪些? PAL/GAL,CPLD,FPGA PLA:可编程逻辑阵列,一种用于大规模的与阵列和或阵列的逻辑器件,用于实现布尔逻辑的不同组合。

PLA:可编程阵列逻辑,一种逻辑器件,由大规模的与阵列和规模小且数量固定的或门组成,可用于实现布尔逻辑和状态机。

PAL:很短的交货时间、可编程的、没有NRE(非循环工程)费用 门阵列:高密度性、能实现许多逻辑函数、速度相对较快 1.9 FPGA、ASIC、CPLD的概念及区别

FPGA(Field Programmable Gate Array)是可编程ASIC。

ASIC专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点。

FPGA采用同步时钟设计,使用全局时钟驱动,采用时钟驱动方式在各级专用布线资源上灵活布线,ASIC有时采用异步逻辑,一般采用门控时钟驱动,一旦设计完成,其布线是固定的。FPGA比ASIC开发周期短,成本低,设计灵活。

CPLD(Complex Programmable Logic Device)是复杂可编程逻辑器件。CPLD开关矩阵路径设计的一个优点是信号通过芯片的延时时间是确定的。设计者通过计算经由功能模块、I/O模块和开关矩阵的延迟就可以 任何信号的延迟时间,并且信号沿金属线传递所引起的延迟是可忽略的。

1.10 锁存器(latch)和触发器(flip-flop)区别?

电平敏感的存储器件称为锁存器,可分为高电平锁存器和低电平锁存器,用于不同时钟 之间的信号同步。

由交叉耦合的门构成的双稳态的存储原件称为触发器。分为上升沿触发和下降沿触发。可以认为是两个不同电平敏感的锁存器串连而成。前一个锁存器决定了触发器的建立时间,后一个锁存器则决定了保持时间。

锁存器对脉冲电平敏感,在时钟脉冲的电平作用下改变状态。锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,仅当锁存器处于使能状态时,输出才会随着数据输入发生变化。

锁存器不同于触发器,它不在锁存数据时,输出端的信号随输入信号变化,就像信号通过一个缓冲器一样;一旦锁存信号起锁存作用,则数据被锁住,输入信号不起作用。锁存器也称为透明锁存器,指的是不锁存时输出对于输入是透明的。

应用场合:数据有效迟后于时钟信号有效。这意味着时钟信号先到,数据信号后到。在某些运算器电路中有时采用锁存器作为数据暂存器。

缺点:时序分析较困难。

不要锁存器的原因:

1、锁存器容易产生毛刺,2、锁存器在ASIC设计中应该说比ff要简单,但是在FPGA的资源中,大部分器件没有锁存器这个东西,所以需要用一个逻辑门和ff来组成锁存器,这样就浪费了资源。

优点:面积小。锁存器比FF快,所以用在地址锁存是很合适的,不过一定要保证所有的latch信号源的质量,锁存器在CPU设计中很常见,正是由于它的应用使得CPU的速度比外部IO部件逻辑快许多。latch完成同一个功能所需要的门较触发器要少,所以在asic中用的较多。

寄存器用来存放数据的一些小型存储区域,用来暂时存放参与运算的数据和运算结果,它被广泛的用于各类数字系统和计算机中。其实寄存器就是一种常用的时序逻辑电路,但这种时序逻辑电路只包含存储电路。寄存器的存储电路是由锁存器或触发器构成的,因为一个锁存器或触发器能存储1位二进制数,所以由N个锁存器或触发器可以构成N位寄存器。工程中的寄存器一般按计算机中字节的位数设计,所以一般有8位寄存器、16位寄存器等。对寄存器中的触发器只要求它们具有置

1、置0的功能即可,因而无论是用同步RS结构触发器,还是用主从结构或边沿触发结构的触发器,都可以组成寄存器。一般由D触发器组成,有公共输入/输出使能控制端和时钟,一般把使能控制端作为寄存器电路的选择信号,把时钟控制端作为数据输入控制信号。寄存器的应用

1.可以完成数据的并串、串并转换;

2.可以用做显示数据锁存器:许多设备需要显示计数器的记数值,以8421BCD码记数,以七段显示器显示,如果记数速度较高,人眼则无法辨认迅速变化的显示字符。在计数器和译码器之间加入一个锁存器,控制数据的显示时间是常用的方法。3.用作缓冲器;

4.组成计数器:移位寄存器可以组成移位型计数器,如环形或扭环形计数器。

1.11 JTAG信号

TCK:测试时钟输入,用于移位控制,上升沿将测试指令、测试数据和控制输入信号移入芯片;下降沿时将数据从芯片移出。

TMS:测试模式选择,串行输入端,用于控制芯片内部的JTAG状态机。

TDI:测试数据输入,串行输入端,用于指令和编程数据的输入,在时钟上升沿,数据被捕获。TDO:测试数据输出,串行输出端,时钟下降沿,数据被驱动输出。TRST:测试复位输入(仅用于扩展JTAG),异步、低电平有效,用于JTAG初始化时。

1.12 FPGA芯片内有哪两种存储器资源?

FPGA芯片内有两种存储器资源:一种叫block ram,另一种是由LUT配置成的内部存储器(也就是分布式ram,distribute ram)。Block ram由一定数量固定大小的存储块构成的,使用BLOCK RAM资源不占用额外的逻辑资源,并且速度快。但是使用的时候消耗的BLOCK RAM资源是其块大小的整数倍。

1.13 FPGA中可以综合实现为RAM/ROM/CAM的三种资源及其注意事项?

三种资源:block ram、触发器(FF)、查找表(LUT); 注意事项:

1、在生成RAM等存储单元时,应该首选block ram 资源;原因有二:使用block ram等资源,可以节约更多的FF和4-LUT等底层可编程单元,最大程度发挥器件效能,节约成本; block ram是一种可以配置的硬件结构,其可靠性和速度与用LUT和register构建的存储器更有优势。

2、弄清FPGA的硬件结构,合理使用block ram资源;

3、分析block ram容量,高效使用block ram资源和分布式ram资源(distribute ram)。

1.14 FPGA设计中对时钟的使用?(例如分频等)

FPGA芯片有固定的时钟路由,这些路由能有减少时钟抖动和偏差。需要对时钟进行相位移动或变频的时候,一般不允许对时钟进行逻辑操作,这样不仅会增加时钟的偏差和抖动,还会使时钟带上毛刺。一般的处理方法是采用FPGA芯片自带的时钟管理器如PLL,DLL或DCM,或者把逻辑转换到触发器的D输入。

1.15 Xilinx中与全局时钟资源和DLL相关的硬件原语

常用的与全局时钟资源相关的Xilinx器件原语包括:BUFG, IBUFGDS, BUFG, BUFGP, BUFGCE, BUFGMUX, BUFGDLL, DCM等。1.16 HDL语言的层次概念?

HDL语言是分层次的、类型的,最常用的层次概念有系统与标准级、功能模块级,行为级,寄存器传输级和门级。

1.17 查找表的原理与结构?

查找表(look-up-table)简称为LUT,本质上是一个RAM。目前FPGA中多使用4输入的LUT,所以每一个LUT可以看成一个有 4位地址线的16x1的RAM。当用户通过原理图或HDL语言描述了一个逻辑电路以后,PLD/FPGA开发软件会自动计算逻辑电路的所有可能的结果,并把结果事先写入RAM,每输入一个信号进行逻辑运算就等于输入一个地址进行查表,找出地址对应的内容,然后输出。

1.18 IC设计前端到后端的流程和EDA工具?

设计前端也称逻辑设计,后端设计也称物理设计,两者并没有严格的界限,一般涉及到与工艺有关的设计就是后端设计。1:规格制定:客户向芯片设计公司提出设计要求。

2:详细设计:芯片设计公司(Fabless)根据客户提出的规格要求,拿出设计解决方案和具体实现架构,划分模块功能。目前架构的验证一般基于 system C,仿真可以使用system C的仿真工具,CoCentric和Visual Elite等。

3:HDL编码:设计输入工具:ultra,visual VHDL等 4:仿真验证:modelsim 5:逻辑综合:synplify 6:静态时序分析:synopsys的Prime Time 7:形式验证:Synopsys的Formality.1.19 什么是“线与”逻辑,要实现它,在硬件特性上有什么具体要求? 线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用OC门(集电极开路与非门)来实现,由于不用OC门可能使灌电流过大,而烧坏逻辑门,因此在输出端口应加一个上拉电阻。

1.20 IC设计中同步复位与异步复位的区别? 同步复位在时钟沿采复位信号,完成复位动作。

异步复位不管时钟,只要复位信号满足条件,就完成复位动作。异步复位对复位信号要求比较高,不能有毛刺,如果其与时钟关系不确定,也可能出现亚稳态。

1.21 MOORE 与 MEELEY状态机的特征?

Moore 状态机的输出仅与当前状态值有关, 且只在时钟边沿到来时才会有状态变化。Mealy 状态机的输出不仅与当前状态值有关, 而且与当前输入值有关。

1.22 Latch和Register区别?行为描述中Latch如何产生? 本质的区别在于:latch是电平触发,register是边沿触发。register在同一时钟边沿触发下动作,符合同步电路的设计思想,而latch则属于异步电路设计,往往会导致时序分析困难,不适当的应用latch则会大量浪费芯片资源。时序设计中尽量使用register触发。行为描述中,如果对应所有可能输入条件,有的输入没有对应明确的输出,系统会综合出latch。

比如://缺少else语句 always@(a or b)begin if(a==1)q <= b;end 1.23 单片机上电后没有运转,首先要检查什么?

首先应该确认电源电压是否正常;接下来就是检查复位引脚电压是否正常;然后再检查晶振是否起振了。

如果系统不稳定的话,有时是因为电源滤波不好导致的。在单片机的电源引脚跟地引脚之间接上一个0.1uF的电容会有所改善。如果电源没有滤波电容的话,则需要再接一个更大滤波电容,例如220uF的。遇到系统不稳定时,就可以并上电容试试(越靠近芯片越好)。

1.24 集成电路前端设计流程,写出相关的工具。1)代码输入(design input)用vhdl或者是verilog语言来完成器件的功能描述,生成hdl代码 语言输入工具:SUMMIT VISUALHDL MENTOR RENIOR 图形输入: composer(cadence);viewlogic(viewdraw)2)电路仿真(circuit simulation)将vhd代码进行先前逻辑仿真,验证功能描述是否正确 数字电路仿真工具: Verolog

:CADENCE Verolig-XL SYNOPSYS VCS MENTOR Modle-sim VHDL:CADENCE NC-vhdl SYNOPSYS VSS MENTOR Modle-sim 模拟电路仿真工具:

ANTI HSpice pspice,spectre micro microwave: eesoft : hp 3)逻辑综合(synthesis tools)逻辑综合工具可以将设计思想vhd代码转化成对应一定工艺手段的门级电路;将初级仿真中所没有考虑的门沿(gates delay)反标到生成的门级网表中,返回电路仿真阶段进行再仿真。最终仿真结果生成的网表称为物理网表。

第 2 章 时序约束

2.1 时序约束的概念和基本策略

时序约束主要包括周期约束,偏移约束,静态时序路径约束三种。通过附加时序约束可以综合布线工具调整映射和布局布线,是设计达到时序要求。

策略:附加时序约束的一般策略是先附加全局约束,然后对快速和慢速例外路径附加专门约束。附加全局约束时,首先定义设计的所有时钟,对各时钟域内的同步元件进行分组,对分组附加周期约束,然后对FPGA/CPLD输入输出PAD附加偏移约束、对全组合逻辑的PAD TO PAD路径附加约束。附加专门约束时,首先约束分组之间的路径,然后约束快、慢速例外路径和多周期路径,以及其他特殊路径。附加约束的作用:

1、提高设计的工作频率(减少了逻辑和布线延时);

2、获得正确的时序分析报告;(静态时序分析工具以约束作为判断时序是否满足设计要求的标准,因此要求设计者正确输入约束,以便静态时序分析工具可以正确的输出时序报告)

3、指定FPGA/CPLD的电气标准和引脚位置。

2.2 FPGA设计中如何实现同步时序电路的延时?

首先说说异步电路的延时实现:异步电路一半是通过加buffer、两级与非门等,但这是不适合同步电路实现延时的。在同步电路中,对于比较大的和特殊要求的延时,一半通过高速时钟产生计数器,通过计数器来控制延时;对于比较小的延时,可以通过触发器打一拍,不过这样只能延迟一个时钟周期。

2.3 什么是同步逻辑和异步逻辑?

同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。电路设计可分类为同步电路和异步电路设计。同步电路利用时钟脉冲使其子系统同步运作,而异步电路不使用时钟脉冲做同步,其子系统是使用特殊的“开始”和“完成”信号使之同步。由于异步电路具有下列优点--无时钟歪斜问题、低电源消耗、平均效能而非最差效能、模块性、可组合和可复用性--因此近年来对异步电路研究增加快速,论文发表数以倍增,而Intel Pentium 4处理器设计,也开始采用异步电路设计。v异步电路主要是组合逻辑电路,用于产生地址译码器、FIFO或RAM的读写控制信号脉冲,其逻辑输出与任何时钟信号都没有关系,译码输出产生的毛刺通常是可以监控的。同步电路是由时序电路(寄存器和各种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的。这些时序电路共享同一个时钟CLK,而所有的状态变化都是在时钟的上升沿(或下降沿)完成的。

同步时序逻辑电路的特点:各触发器的时钟端全部连接在一起,并接在系统时钟端,只有当时钟脉冲到来时,电路的状态才能改变。改变后的状态将一直保持到下一个时钟脉冲的到来,此时无论外部输入有无变化,状态表中的每个状态都是稳定的。

异步时序逻辑电路的特点:电路中除可以使用带时钟的触发器外,还可以使用不带时钟的触发器和延迟元件作为存储元件,电路中没有统一的时钟,电路状态的改变由外部输入的变化直接引起。

2.4 同步电路和异步电路的区别?

同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。异步电路:电路没有统一的时钟,有些触发器的时钟输入端与时钟脉冲源相连,这有这些触发器的状态变化与时钟脉冲同步,而其他的触发器的状态变化不与时钟脉冲同步。

2.5 同步设计的原则

1、尽可能使用同一时钟,时钟走全局时钟网络。多时钟域采用“局部同步”。

2、避免使用缓和时钟采样数据。采用混合时钟采用将导致Fmax小一倍。

3、避免在模块内部使用计数器分频所产生的时钟。

4、避免使用门控时钟。组合电路会产生大量毛刺,所以会在clk上产生毛刺导致FF误翻转。可以用时钟始能代替门控时钟。

2.6 时序设计的实质

电路设计的难点在时序设计,时序设计的实质就是满足每一个触发器的建立/保持时间的要求。

2.7 对于多位的异步信号如何进行同步?

对一位的异步信号使用一位同步器,而对于多位的异步信号,可以采用如下方法:1:可以采用保持寄存器加握手信号的方法(多数据,控制,地址);2:特殊的具体应用电路结构,根据应用的不同而不同;3:异步FIFO(最常用的缓存单元是DPRAM)。

2.8 什么是时钟抖动?

时钟抖动是指芯片的某一个给定点上时钟周期发生暂时性变化,也就是说时钟周期在不

同的周期上可能加长或缩短。它是一个平均值为0的平均变量。

2.9 建立时间与保持时间的概念?

Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信号上升沿到来以前,其数据输入端的数据必须保持不变的时间。输入信号应提前时钟沿T时间到达芯片,这个T就是建立时间-Setup time。如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟沿,数据才能被打入触发器。

保持时间是指触发器的时钟信号上升沿到来以后,其数据输入端的数据必须保持不变的时间。如果hold time不够,数据同样不能被打入触发器。

不考虑时钟的skew,D2的建立时间不能大于(时钟周期Tsetup – hold

2.17 时钟周期T,触发器D1的寄存器到输出时间最大为T1max,最小为T1min。

组合逻辑电路最大延迟为T2max,最小为T2min。问,触发器D2的建立时间T3和保持时间应满足什么条件 T3setup>T+T2max,T3hold>T1min+T2min

第 3 章 RTL级设计

3.1 用VERILOG或VHDL写一段代码,实现消除一个glitch? 将传输过来的信号经过两级触发器就可以消除毛刺。

3.2 阻塞式赋值和非组塞式赋值的区别?

非阻塞赋值:块内的赋值语句同时赋值,一般用在时序电路描述中,同时执行。阻塞赋值:完成该赋值语句后才做下一句的操作,一般用在组合逻辑描述中,顺序执行。

3.3 用FSM实现101101的序列检测模块。

a为输入端,b为输出端,如果a连续输入为1101则b输出为1,否则为

0。

如a: ***0100110 b: ***0000000 请画出state machine;请用RTL描述其state machine。

状态分配: idle:000 st0:001 st1:011 st2:010 st3:110

3.4 用verilog/vhdl写一个fifo控制器(包括空,满,半满信号)。reg[N-1:0] memory[0:M-1];定义FIFO为N位字长容量M 八个always模块实现,两个用于读写FIFO,两个用于产生头地址head和尾地址tail,一个产生counter计数,剩下三个根据counter的值产生空,满,半满信号产生空,满,半满信号。

3.5 用D触发器实现2分频的Verilog描述? module divide2(clk , clk_o, reset);input clk , reset;output clk_o;wire in;reg out always @(posedge clk or posedge reset)if(reset)out <= 0;else out <= in;assign in = ~out;assign clk_o = out;endmodule

3.6 用D触发器做个二分频的电路?画出逻辑电路? D触发器的输出Q取反接到输入,输出作为二分频输出。

显示工程设计中一般不采用这样的方式来设计,二分频一般通过DCM或PLL来实现。通过DCM或者PLL得到的分频信号没有相位差。

3.7 描述一个交通信号灯的设计。module traffic

3.8 设计一个自动饮料售卖机,饮料10分钱,硬币有5分和10分两种,并考虑

找零,1.画出fsm(有限状态机)2.用verilog编程,语法要符合fpga设计的要求3.设计工程中可使用的工具及设计大致过程

(1)点路变量分析:投入5分硬币为一个变量,定义为A,为输入;投入10分硬币为一个变量,定义为B,为输入;售货机给出饮料为一变量,定义为Y,为输出;售货机找零为一变量,定义为Z,为输出。(2)状态确定:电路共有两个状态:状态S0,表示未投入任何硬币;状态S1,表示投入了5分硬币。

(3)设计过程:设当前为S0状态,当接收到5分硬币时,转换到S1状态,等待继续投入硬币;当接收到10分硬币时,保持S0状态,弹出饮料,不找零。当前状态为S1时,表示已经有5分硬币,若再接收5分硬币,转换到S0状态,弹出饮料,不找零;若接收到10分硬币,转换到S0状态,弹出饮料,找零。所用设计工具:Quartus II,modelsim

第 4 章 名词解释

4.1 sram,falsh memory及dram的区别? sram:静态随机存储器,存取速度快,但容量小,掉电后数据会丢失,不像DRAM 需要不停的REFRESH,制造成本较高,通常用来作为快取(CACHE)记忆体使用 flash:闪存,存取速度慢,容量大,掉电后数据不会丢失

dram:动态随机存储器,必须不断的重新的加强(REFRESHED)电位差量,否则电位差将降低至无法有足够的能量表现每一个记忆单位处于何种状态。价格比sram便宜,但访问速度较慢,耗电量较大,常用作计算机的内存使用。

SSRAM:Synchronous Static Random Access Memory同步静态随机访问存储器。它的一种类型的SRAM。SSRAM的所有访问都在时钟的上升/下降沿启动。地址、数据输入和其它控制信号均于时钟信号相关。这一点与异步SRAM不同,异步SRAM的访问独立于时钟,数据输入和输出都由地址的变化控制。

SDRAM:Synchronous DRAM同步动态随机存储器。

FPGA设计中既可以用于静态验证又可以用于动态仿真的是(断言,类似于C语言里的assert,静态验证类似于程序在编译阶段就能发现错误,动态仿真是仿真阶段发现错误)3.WCDMA的码片速率是:3.84Mcps

4.2 PROM分类:

可擦除可编程的只读存储器(EPROM):施加高压电信号编程,置于紫外线中可擦除其内容。

电可擦除可编程只读存储器(E2PROM):高压编程和擦除。Flash存储器:电信号对其编程和擦除。4.3 PROM分类:

4.4 名词IRQ,BIOS,USB,VHDL,SDR

4.5 给你一堆名词,举例他们的作用。有PCI、ECC、DDR、interrupt、pipeline 中断的类型,作用。

IRQ,BIOS,USB,VHDL,VLSI VCO(压控振荡器)RAM(动态随机存储器),FIR IIR DFT(离散傅立叶变换)或者是中文的,比如:a.量化误差 b.直方图 c.白平衡 PCI:Peripheral Component Interconnect(PCI),DDR:DoubleDataRate ECC:Error Checking and Correcting ATPG:Automatic Test Pattern Generator自动测试相量生成 CMOS:Complement Metel Oxide Semi-conduct ECO: Engineering Change Order 工程修改订单。

PCI:PCI是Peripheral Component Interconnect(外设部件互连标准)的缩写PCI是由Intel公司1991年推出的一种局部总线。最早提出的PCI 总线工作在33MHz 频率之下,传输带宽达到了133MB/s(33MHz X 32bit/8),它为显卡,声卡,网卡,MODEM等设备提供了连接接口。

ECC:erro checking and correcting 数据校验纠错,应用在内存上 ECC内存 DDR:内存 double date rate Interrupt:中断 分为硬件中断和软件中断。硬件中断分为可屏蔽中断和不可屏蔽中断。Pipeline:流水线采用流水线技术的CPU使用指令重叠的办法,即在一条指令还没有处理完时,就开始处理下一条指令。典型的流水线将每一条机器指令分成5步,即取指、译码、取操作数(或译码2)、执行、回写。在理想条件下,平均每个时钟周期可以完成一条指令而所谓“超级流水线处理”是将机器指令划分为更多级的操作,以减轻每一级的复杂程度。在流水线的每一步中,如果需要执行的逻辑操作少一些,则每一步就可以在较短的时间内完成。TLB:Translate Look side Buffers,转换旁视缓冲器

经典笔试题 篇2

1.烧一根不均匀的绳,从头烧到尾总共需要1个小时。现在有若干条材质相同的绳子,问如何用烧绳的方法来计时一个小时十五分钟呢?

2.你有一桶果冻,其中有黄色、绿色、红色三种

3.如果你有无穷多的水,一个3公升的提捅,一个5公升的提捅,两只提捅形状上下都不均匀,问你如何才能准确称出4公升的水?(40秒-3分钟)

4.一个岔路口分别通向诚实国和说谎国。来了两个人,已知一个是诚实国的,另一个是说谎国的。诚实国永远说实话,说谎国永远说谎话。现在你要去说谎国,但不知道应该走哪条路,需要问这两个人。请问应该怎么问?(20秒-2分钟)

5.12个球一个天平,现知道只有一个和其它的重量不同,问怎样称才能用三次就找到那个球。13个呢?(注意此题并未说明那个球的重量是轻是重,所以需要仔细考虑)(5分钟-1小时)

6.在9个点上画10条直线,要求每条直线上至少有三个点?(3分钟-20分钟)

7.在一天的24小时之中,时钟的时针、分针和秒针完全重合在一起的时候有几次?都分别是什么时间?你怎样算出来的?

二.没有答案型(说明:这些题显然不是考你智力。而考的是你的反应能力。这种题大多数没有答案,但是要看你的反应喽!)

1.为什么下水道的盖子是圆的 ?

2.中国有多少辆汽车?

3.将汽车钥匙插入车门,向哪个方向旋转就可以打开车锁?7

4.如果你能够将全世界的电脑厂商集合在一个办公室里,然后告诉他们将被强迫做一件事,那件事将是什么?

5.多少个加油站才能满足中国的所有汽车?.

6.想象你站在镜子前,请问,为什么镜子中的影象可以颠倒左右,却不能颠倒上下?

7.为什么在任何旅馆里,你打开热水,热水都会瞬间倾泻而出?

8.你怎样将Excel的用法解释给你的奶奶听?

9.你怎样重新改进和设计一个ATM银行自动取款机?

10.如果你不得不重新学习一种新的计算机语言,你打算怎样着手来开始?

11.如果你的生涯规划中打算在5年内受到奖励,那获取该项奖励的动机是什么?观众是谁?

12.如果微软告诉你,我们打算投资五百万美元来启动你的投资计划,你将开始什么样商业计划?为什么?

面试指南:面试遇到智力测试题如何回答?

(1)排除法

把一些无关的问题先予以排除,可以确定的问题先确定,尽可能缩小未知的范围,以便于问题的分析和解决。这种思维方式在我们的工作和生活中都是很有用处的。

(2)递推法

由已知条件层层向下分析,要确保每一步都能准确无误。可能会有几个分支,应本着先易后难的原则,先从简单的一支入手。

(3)倒推法

从问题最后的结果开始,一步一步往前推,直到求出问题的答案。有些问题用此法解起来很简单,如用其他方法则很难。

(4)假设法

对给定的问题,先做一个或一些假设,然后根据已给的条件进行分析,如果出现与题目给的条件有矛盾的情况,说明假设错误,可再做另一个或另一些假设。如果结果只有两种可能,那么问题就已经解决了。在科学史上,“假设”曾起了极大的作用。

(5)计算法

有些问题必须经计算才能解决。要注意的是,智力测验中的问题往往含有隐含的条件,有时给出的数是无用的。

(6)分析法

这是最基本的方法。各种方法常常要用到分析法。可以说,分析能力的高低,是一个人的智力水平的体现。分析能力不仅是先天性的,在很大程度上取决于后天的训练,应养成对客观事物进行分析的良好习惯。

(7)作图法

根据问题中已知的条件,采用适当的方法画出图形,有助于问题的解决。有些问题,在没画图之前,会觉得无处下手,画了图后就一目了然了。

(8)综合法

员工关系笔试题及答案 篇3

注:此卷分为四部分,共100分,做题时间为45分钟,第一部分单项选择题10题,10*2=20分,第二部分多项选择题5题,共15分,第三部分简答题3题,3*10=30分,第四部分案例题,第一小题15分,第二小题20分。

一、单项选择题

1、什么是和谐的员工关系?(A)

A沟通自由B 以人为本,彼此信任

C互相帮助,关心利益D 诚实守信,以理服人

2.良好的上下级关系有什么要求?(B)

A诚实B 信任

C沟通自由D 友好

3、冲突的形式不包括(D)

A、罢工B、抵制C、辞职D、请假

4.易发怒的人是属于哪种人格特质的?(D)

A 多血质B 粘液质

C 抑郁质D 胆汁质

5、以下有关惩罚的内容错误的是(B)

A、惩罚的目的是利用人的畏惧感,促使其循规蹈矩

B、惩罚一定能取得好的效果

C、惩罚不可滥施

D、惩罚应对事不对人

6、对离职率的认识正确的是(D)

A、离职可以更新组织气氛,所以离职率越高也好

B、离职是员工的问题,与企业无关

C、离职会增加企业成本,所以应尽量避免

D、只要是常态性的离职,企业正常对待即可

7、确认劳动合同无效的情形不包括(A)

A、有关劳动报酬和劳动条件等标准高于集体协议

B、采取欺诈、胁迫等手段订立的合同

C、因重大误解签订的劳动合同

D、内容显失公平

8.作为一个管理人员,你发现你的一个下属总是不停的开玩笑取乐。你安排了一次与他的会面,当会面

开始的时候,你应该如何应对?(C)

A 和他开玩笑来建立友好关系

B 直接改善他这次会面就是为来了讨论一下如何他过分开玩笑的问题

C 首先列举他对部门的贡献,然后切入正题

D 告诉他如果他再这样不成熟,那么就要被炒鱿鱼

9、仲裁裁决书自双方当人事收到之日起(D)内不向人民法院起诉的,即发生法律效应。

A 3日B5日C7日D15日

10、员工发生工伤事故,单位需在工伤发生之日起(C)内,向工伤鉴定部门申请工伤鉴定

A10日B20日C30日D40日

二、多项选择题

1、心理契约包括以下哪个方面(BCD)

A、企业需求B、企业激励方式C、员工自我定位D、相应的工作行为

2、劳动合同的种类包括(ACD)

A、固定期限劳动合同B、永久期限劳动合同

C、以完成一定工作为期限的劳动合同D、无固定期限劳动合同

3、有效沟通的行为法则包括(BCD)

A、尽量间接婉转告诉对方

B、自信的态度

C、尊重、体谅他人的行为

D、善用询问与倾听

4、以下有关离职的分类正确的是(ABD)

A、以员工是否自动移动为标准,分为自动离职与非自动离职

B、以组织是否可以避免为标准,可分为可避免的离职与不可避免的离职

C、依员工移动的原因,可分为退休和免职

D、依组织的功能性,可分为功能性离职与非功能性离职

5、劳动争议处理的原则包括(ABC)

A、着重调解,及时处理

B、在查清事实的基础上依法处理

C、当事人在适用法律上一律平等原则

D、尽量维护企业利益

四、简答题

1、从人力资源部门的管理职能角度,简述员工关系管理的主要内容。

答:1.劳动争议的处理,员工入离职面谈及手续办理,员工申诉、人事纠纷和意外事件的处理。

2.员工人际关系管理,引导员工建立良好的关系。

3.沟通管理,保证沟通渠道畅通,完善员工建议制度。

4.员工情绪管理,组织员工心态、满意度调查,谣言、怠工的预防、监测及处理,解决员

工关心的问题、5.企业文化建设,建设企业文化,引导员工价值观,维护企业良好形象。

6.服务与支持,提供各类咨询,娱乐活动或聚会,协助员工平衡工作与生活的关系。

7.员工关系管理培训,组织员工进行人际交往,沟通技巧等方面的培训等。

2、简述劳动合同终止的条件。

答:1.劳动合同期限届满

2..劳动合同约定的终止条件出现的3.劳动者达到法定退休条件的4.劳动者死亡或者被人民法院宣告失踪、死亡的5.用人单位依法破产、解散的3、简要说明劳动仲裁的基本原则?

答:1.一次裁决原则。

2.合议原则:少数服从多数。

3.强制原则,只要一方申请就要受理,调解不成可直接行驶裁决权,一方不履行,当事人可要求人民法院强制执行。

4.回避原则。

5.区分举证责任原则。

四、案例分析

1.小张加入A公司快三个月了,职位是网络编辑。由于版面的需要,有时候他也要以记者的身份去采访和撰写稿件。一天,版面的主编找到公司的人事主管,决定终止小张的试用期,理由是他经常“选题不好”、“思路不清晰”、“文字表述都有问题”等等。按公司的惯例,人事主管要通知当事人并谈话,试用期一方提出解除合同也是正常的事。

出乎意料的是,谈话一开始,小张就抱怨声声,并觉得处理太突然。一是觉得“自己做的不错呀,上了那么多稿子”;二是“我们主编从来也没说过什么呀”,“快转正了才说我不行,太不公平”。最后,小张还是离开了公司,给大家留下了深深的思考..讨论:从小张身上发生的事,诊断公司在哪一个环节出了问题。如果你是小张的人事主管,你会怎么做?

建议:公司在内部沟通环节出了问题。

针对小张现实的离职问题,在交谈时善用询问和倾听,认真听取小张的意见,尊重体谅他的行为,同时要保持自信的态度,向其说明公司的政策。

事后要反思企业的沟通机制不足之处,鼓励上下级多做多角度、双向的沟通,建立良好的正式和非正式沟通渠道;在注意时间、气氛、对象是否合适前提下,尽量使用直接告诉对方的方法。

(此题可自由发挥,答案可不拘于此)

2.2009年6月,某公司经理在企业裁员讨论会上说到:“本公司这次裁减人员,主要是针对两类员工:第一类是由于企业结构调整造成的富余人员;第二类是各部门末位淘汰下来的,长期不能胜任工作的员工。对于这两类员工我们要分别对待,第一类员工由于没有过错,所以我们在裁减他们时,要按国家规定给予他们经济补偿金;而在裁减第二类员工时,由于他们长期不能胜任工作,公司也分别给过他们新的机会,其中一些人是经过了培训,另一些是调整过岗位,但他们到目前为止还不胜任工作,对这些扶不起来的阿斗,公司也没有办法了,这次裁减他们公司不能再给他们任何经济上的补偿了。”经理的这番话得到了在场人员的支持。

一周后,公司的裁员工作正式开始了。生产部的齐先生是基于第二类不能胜任工作的员工身份被公司解除劳动合同的。公司在给他的书面解聘通知中还明确规定:齐先生在接到通知后必须立即办理工作交接,并在两天内离开公司。

当他办完离职手续来到人事部向公司索要解除合同的经济补偿金时,人事经理这样说道:“你是属于第二类被裁员工,根据公司的规定,对于长期不能胜任工作,调整岗位后仍不能胜任工作的员工,公司是不支付经济补偿金的。”齐先生疑惑地追问:“为什么?”“为什么?道理很简单,”人事经理解释道,“对于你这种长期完不成任务的员工,公司本来早就应该请你走,一直容忍你到今天,已经仁至义尽了。公司在这种情况下与你解除劳动合同,就应该跟那些因严重违纪被解除劳动合同的员工同等对待,都不能享受经济补偿金。理由是这种情况下的解除合同完全是你的过错造成的,公司没有任何责任。”那么,公司人事经理的上述说法是否正确呢?

讨论:本案例中的齐先生长期不能胜任本职工作,在公司给他调整工作岗位后,仍然不能胜任。根据《劳动法》第四十条第2款规定:劳动者不能胜任工作,经过培训或者调整工作岗位,仍不能胜任工作的,用人单位可以解除劳动合同。据此,公司有权单方与齐先生解除劳动合同。

不过,虽然公司与齐先生解除合同时可以的,但未提前30天书面通知,只给两天的时间办手续,是不符合法律规定的,而公司拒绝支付经济补偿金更是侵犯了员工合法权益的行为。

企业管理者应当注意的是,劳动者不能胜任工作,属于个人能力问题,主观上没有过错,与《劳动法》第三十九条第2款规定的“严重违反劳动纪律”(简称严重违纪)是不一样的,根本的区别在于,严重违纪是劳动者主观上有过错的。所以法律规定,严重违纪被解除合同就没有补偿金,而不能胜任工作被

解除时,企业就应当支付补偿金。

推荐职业股民笔试题+答案 篇4

第2题、投机。中国股市市盈率高,分红差,融资任务重,背景有特色,不能投资。

第3题、习惯。坏习惯不根除,不可救药;好习惯的养成,需要时间磨练。

第4题、买卖供求关系。(量价逻辑关系)

第5题、量能的大小,时间和价格的确定性组合。

第6题、有些因素不可预测,有些因素可预测。

第7题、心态控制、职业技术博弈、运气概率控制。

第8题、不一定,1赢2平7亏适用于一切群体。

第9题、无知者无畏,逆市持股,赌瘾发作,板本心理,情绪化,心理障碍,修正主义,选择性记忆,麻木状态,怨天尤人。

第10题、股市中长时间的结果是1赢2平7亏,自己的能力不足以是那10%。

第11题、股市里都是傻瓜,稍微聪明一点(顺势而为)就能赚大钱。

计算机笔试题及答案 篇5

1.世界第一台电子计算机ENIAC诞生于(B )

A.1945 B.1946 C.1947 D.1956

2.过程控制的特点是( D )

A.计算量大,数值范围广 B.数据输入输出量大,计算相对简单

C.进行大量的图形交互操作 D.具有良好的实时性和高可靠性

3.当电源断开后,存储器中数据完全丢失的是( A )存储器

A.ROM B.RAM C.PROM D.CD-ROM

4.在计算机应用中,“计算机辅助制造”的英文缩写为( D )

A.CAD B.CAM C.CAE D.CAT

5.在微型计算机中,应用最普遍的英文字符编码是( B )

A.BCD码 B.ASCII码 C.汉字编码 D.循环码

6.与十六进制数D8H等值的十进制数是( A )

A.218 B.216 C.138 D.98

7.与二进制数101101等值的十六进制数是( C )

A.1D B.2C C.2D D.2E

7.在图形卡与系统内存之间提供了一条直接的访问途径的总线标准是( A )

A.PCI B.AGP C.ISA D.EISA

8.汉字点阵32*32,那么100个汉字的字形信息所占用的字节数是( A )

A.3200 B.128K C.12800 D.32*3200

9.一个应用程序窗口最小化后,该应用程序将( B )

A.被终止运行 B.仍然在内存中运行

C.继续执行 D.暂停执行

10.桌面是WINDOWS面向( A )的第一界面

A.系统 B.硬件 C.用户 D.程序

11.Windows操作系统中,管理系统资源的程序组是( B )

A.“我的电脑”和“控制面板” B.“资源管理器”和“控制面板”

C.“我的电脑”和“资源管理器” D.“控制面板”和“资源管理器”

12.可以同时打开的应用程序窗口数是(B )

A.一个 B.二个 C.三个 D.多个

13.在Word中,下拉出Word控制菜单的快捷键是( C )

A.SHIFT+空格键 B.CTRL+空格键

C.ALT+空格键 D.CIRL+TAB

14.在Word中,段落标记(A )

A.通过按回车键产生,仅仅代表一个段落的结束 B.无法在屏幕显示

C.不可能出现在图形后面 D.标记一个段落结束,还保留该段落的所有格式

15. Word中,可以显示分页效果的视图是( B )

A.普通视图 B.大纲视图 C.页面视图 D.主控文档

16.当前个人电脑所采用的电子器件是(D)

A.电子管 B.晶体管 C.集成电路 D.超大规模集成电路

17.办公自动化是计算机的一项应用,按计算机应用的分类,它属于( B )

A.科学计算 B.数据处理 C.实时控制 D.辅助设计

18.当电源断开后,存储器中数据完全丢失的是(A )存储器

A.ROM B.RAM C.PROM D.CD-ROM

19.下列软件中,不属于系统软件的是(C)

A.编译软件 B.操作系统 C.数据库管理系统 D.C语言程序

20.标准ASCII码的码长是( D )

A.7 B.8 C.12 D.16

21.与十六进制数8DH等值的十进制数是(A)

A.86 B.89 C.141 D.148

22.与二进制数101011等值的十六进制数是(B )

A.2A B.2B C.2C D.2D

23.微机系统与外部交换信息主要通过(A)

A.输入输出设备 B.键盘 C.鼠标 D.打印机

24.一个应用程序窗口最小化后,该应用程序将(B )

A.被终止运行 B.仍然在内存中运行

C.继续执行 D.暂停执行

25.具有多媒体功能的微型计算机系统中,常用的CD-ROM是(C)

A.只读型大容量软盘 B.只读型光盘

C.只读型硬盘 D.优盘

26.在Word中,“格式”工具栏上不可能出现的按钮是(A )

oracle经典20道笔试题 篇6

1.列出至少有一个员工的所有部门,

select dept.deptno,dept.dname

from dept,emp

where dept.deptno=emp.deptno

2.列出薪金比“SMITH”多的所有员工。

select * from emp

where sal >(select sal from emp where ename=’SMITH’)

3.列出所有员工的姓名及其直接上级的姓名。

select yg.ename,sj.ename

from emp yg,emp sj

where yg.mgr=sj.empno

4.列出受雇日期早于其直接上级的所有员工。

select yg.ename,sj.ename

from emp yg join emp sj on yg.mgr=sj.empno

where yg.hiredate

5.列出部门名称和这些部门的员工信息,同时列出那些没有员工的部门。

select dept.deptno,dept.dname,emp.empno,emp.ename

from dept left join emp

on dept.deptno=emp.deptno

6.列出所有“CLERK”(办事员)的姓名及其部门名称。

select * from dept,emp

where dept.deptno=emp.deptno

and job=’CLERK’

7.列出最低薪金大于1500的各种工作。

select job from emp

group by job

having min(sal)>1500

8.列出在部门名称为“SALES”(销售部)工作的员工的姓名,假定不知道销售部的部门编号。

方法一:

select emp.ename

from dept,emp

where dept.deptno=emp.deptno

and dname=’SALES’

方法二:

select * from emp

where deptno=(select deptno from dept where dname=’SALES’)

9.列出薪金高于公司平均薪金的所有员工。

select * from emp

where sal> (select avg(sal) from emp)

对比:列出薪金高于本部门平均薪金的所有员工。

方法一:

select * from emp a

where a.sal>(select avg(sal) from emp b where b.deptno=a.deptno)

缺点:相关子查询,效率低。

方法二:

select empno,ename,sal from

emp a,(select avg(sal) avg_sal from emp b where b.deptno=a.deptno) b

where a.deptno=b.deptno

and a.sal>b.avg_sal

10.列出与“SCOTT”从事相同工作的所有员工。

select * from emp

where job=(select job from emp where ename=’SCOTT’)

11.列出薪金等于部门30中员工的薪金的`所有员工的姓名和薪金,

select ename,sal from emp

where sal=any(select sal from emp where deptno=30 )

12.列出薪金高于在部门30工作的所有员工的薪金的员工姓名和薪金。

方法一:

select ename,sal from emp

where sal>all(select sal from emp where deptno=30 )

方法二:

select ename,sal from emp

where sal>(select max(sal) from emp where deptno=30 )

13.列出在每个部门工作的员工数量、平均工资和平均服务期限。

select deptno,avg(trunc((sysdate-hiredate)/365)) as year

from emp

group by deptno

–参考:截断,取整函数

select trunc(99.9) from dual;

返回

99

14.列出所有员工的姓名、部门名称和工资。

select d.dname,e.ename,e.sal

from dept d,emp e

where d.deptno=e.deptno

说明:每个字段都加表前缀,效率要高些

15.列出所有部门的详细信息和部门人数。

select dept.deptno,dept.dname,count(*)

from dept,emp

where dept.deptno=emp.deptno

group by dept.deptno,dept.dname

16.列出各种工作的最低工资。

select job,min(sal) from emp group by job

17.列出各个部门的MANAGER(经理)的最低薪金。

select deptno,min(sal) from emp where job=’MANAGER’ group by deptno

18.列出所有员工的年工资,按年薪从低到高排序。

select empno,ename,sal*12 as 年薪 from emp

order by sal

19. 求各种工作工资最低的员工。

方法一:

select * from emp a

where sal=(select min(sal) from emp b where b.job=a.job)

方法二:

select emp.*

from emp a,( select job,min(sal) min_sal from emp group by job) b

where a.job=b.job and a.sal=b.min_sal

20. 列出各种工作工资前3名的员工

select * from (

select empno,ename,sal,job,

dense_rank over(partition by job order by sal desc) as 名次

from emp ) a

where a.名次<=2

order by job;

百度校园招聘笔试题及个人答案 篇7

我不是大神,百度笔试题毕竟比较难,所以写出来只是给刷大公司笔试题的人提供一点儿信息以供搜索到,也可以和爱好编程者相互探讨。

一:简答题(30)

1:数据库以及线程发生死锁的原理及必要条件,如何避免死锁(操作系统书上有)

2:面向对象的三个基本元素,五个基本原则(继承,封装,多态,基本原则没答上)

3:windows内存管理的机制以及优缺点(分页,分段,虚拟内存管理....)

二:程序设计题(40)

1:公司里面有1001个员工,现在要在公司里面找到最好的羽毛球选手,也就是第一名,每个人都必须参赛,问至少要比赛多少次才能够找到最好的羽毛球员工。(含伪代码)--------(个人觉得,两两比赛,分成500组剩下一人,类似于归并排序的方式,比出冠军后,让冠军之间再比,主要是要想想多余的那一个选手如何处理,必然要在第一次决出冠军后加入比赛组)

2:现在有100个灯泡,每个灯泡都是关着的,第一趟把所有的灯泡灯泡打开,第二趟把偶数位的灯泡制反(也就是开了的关掉,关了的打开),第三趟让第3,6,9....的灯泡制反.......第100趟让第100个灯泡制反,问经过一百趟以后有多少灯泡亮着-----(觉得这个应该是最好做的编程题了,首先定义一个数组vist[100],初始化为0,我们假设已经达到第i个灯泡了,要判断第i个灯泡最后是开着还是灭了的,要要知道它被开关了多少次用temp存放,也就是说是偶数还是奇数,偶数显然最后是关着的,奇数则开着,让i除以比它小的数字,如果余数为0就躺temp++,最后再根据temp的值确定vist[i是0还是1,最后扫描整个vist数组)

3:有20个数组,每个数组有500个元素,并且是有序排列好的,现在在这20*500个数中找出排名前500的数(可以用归并排序,最后找到500个元素的值,也可以这样首先找到每个数组的.最大值和最小值,然后存放在一个结构体里面,如果一个数组里面的最小值大于某一个数组的最大值,那么某一个数组就被kill掉,然后提取剩余数组的最大值----当然每个数组的元素放生变化了,因为每次提取走了最大值,然后改变结构体里面的最大值和最小值,重复以上操作,直到找到前五百个数)

三:系统设计题(30)

现在有一个手机,手机上的键盘上有这样的对应关系,2对应“abc”,3对应“def”.....手机里面有一个userlist用户列表,当我们输入942的时候出来拼音的对应可能是“xia”,“zha”,“xi”,“yi”等,当我们输入9264的时候出来是yang,可能是“样”,“杨”,“往”等,现在我们输入一个字符串数字,比如926等,要在电话簿userlist中查找出对应的用户名和电话号码并返回结果,

fpga经典笔试题答案 篇8

3一、单项选择题(每题的备选答案中,只有一个正确,请将所选答案的字母填在括号内。每题1分,共20分)

1. 热带雨林是地球表面生物种类最丰富、结构最复杂的植物群落,现在因人们片面追求经济利益而遭破坏,其面积大大下降,并造成气候异常,大量生物物种绝灭和濒危。为此,许多有识之士强烈呼吁保护热带雨林。对此,下列说法中哪种是不正确的?(B)

A.坚持两点论不能不讲重点论B.不懂得失败与成功相反相成C.不懂得内因与外因的辩证法D.不懂得矛盾的主要方面决定事物的性质

2.个体经济在性质上不同于私营经济,是因为(C)。

A.投资规模较小B.经营方式单一C.主要依靠自己劳动和经营D.不是法人企业

3.资源与环境的合理利用与保护包括(D)等内容。

A.自然资源保护与可持续利用B.荒漠化防治C.生物多样性保护D.以上内容都有

4. 在我国,有(C)个非领导职务序列。A.13B.10C.8D.7

5. 中国共产党成立以来,在中国革命、建设和改革方面取得的历史经验归结起来就是(C)。

A.坚持实事求是,与时俱进的思想路线

B.始终坚持把维护人民的根本利益作为中国共产党一切工作的出发点和归宿

C.始终代表中国先进生产力的发展要求,始终代表中国先进文化的前进方向,始终代表中国最广大人民的根本利益

D.坚持用马列主义、毛泽东思想、邓小平理论武装全党,不断解放思想、实事求是,与时俱进、开拓创新,通过实践来检验和发展党的理论和路线方针政策

6. 下列哪项属于行政行为?(C)

A.某县民政局建办公楼的行为B.某县民政局起诉建筑公司违约的行为

C.某县民政局越权处罚违约的建筑公司的行为

D.某县民政局依建筑合同奖励建筑公司的行为

7. 以下哪一部史书是我国历代王朝编写史书的典范?(D)

A.《春秋》B.《汉书》C.《后汉书》D.《史记》

8. 心情愉快,则感到“光阴似箭”;心情抑郁,则感到“度日如年”。这表明(D)。

A.时间是人的主观感觉B.时间随人的感觉的变化而变化

C.时间的具体特性是可变的D.人的时间观念具有相对性

9.下列哪个行为属于行政诉讼受案范围?(B)

A.某甲认为自己符合结婚条件,要求行政机关进行结婚登记,行政机关不予答复

B.某乙认为行政机关开除自己的决定不合理

C.某丙认为某行政法规侵犯了自己的合法权益

D.某丁认为商标复审委员会的决定不合法

10.某地有一些无生活收入来源的寡残孤幼,政府很关心并帮助他们得到起码的生活条件,这种情况就属于(A)。

A.社会救助B.社会保险C.社会福利D.社会优抚

11.紧缩性财政政策是在(B)。

A.总供给大于总需求时采用B.总需求大于总供给时采用

C.总供给和总需求平衡时采用D.总需求不足时采用

12.首先提出太阳中心说的是(A)。

A.哥白尼B.布鲁诺C.开普勒D.伽利略

13.国家公务员考核的重点是(A)。

A.绩B.德C.能D.勤

14.我国现阶段,不同国有企业的职工,付出同样的劳动,获得的劳动报酬会有所差别,这是因为(D)。

A.按劳分配要使一部分企业先富起来C.按劳分配贯彻效率优先、兼顾公平的原则

B.按劳分配和按生产要素分配结合起来D.按劳分配的实现与企业经营成果联系在一起

15.按劳分配原则是(D)。

A.社会总产品的分配原则B.国民收入的分配原则

C.消费基金的分配原则D.个人收入的分配原则

16.在我国,有关法定继承人的顺序,错误的说法是(D)。

A.第一顺序是配偶、子女、父母

B.丧偶儿媳对公婆、丧偶女婿对父母尽了主要赡养义务的可作为第一顺序人

C.第二顺序是兄弟姐妹、祖父母、外祖父母

D.前一顺序排斥后一顺序,同一顺序的继承人之间也有先后次序之分

17.某国有大型企业曾因人浮于事,能源、原材料消耗过高,浪费严重,出现了巨额亏损。1998年以来,新的企业领导班子学习邯钢经验,完善企业规章制度,降低成本,扭转了企业亏损的局面。上述材料表明,要搞好国有企业必须(B)。

A.引进国内外资金,进行股份制改造B.以市场为导向,加强企业内部管理

C.扩大科技投入,开发高科技产品D.实施兼并破产,实现资产重组

18.科技工作的主战场是(D)。

A.面向科学研究B.面向世界C.面向市场D.面向国民经济

19.国家实施西部大开发工程(C)。A.①②B.②③C.①③D.③④①体现了社会主义本质的要求 ②最终目的是为了民族团结 ③有助于调整经济结构,实现地区经济协调发展 ④是市场在资源配置中起基础性作用

20.海洋能包括(D)等多种形态的能量。

A.潮汐能B.海流能C.波浪能D.以上都包括

二、多项选择题(下面每题的备选答案中,至少有两个正确,请将所选答案的字母填在括号内。每题1.5分,共30分)

1.江泽民在十六大报告中,总结了中国共产党领导人民建设中国特色社会主义的基本经验。下列选项中,属于十六大报告中所指的“基本经验”的是(ABCE)。

A.坚持以邓小平理论为指导,不断推进理论创新

B.坚持以经济建设为中心,用发展的办法解决前进中的问题

C.坚持改革开放,不断完善社会主义市场经济体制

D.坚持全面建设小康社会,开创中国特色社会主义事业新局面

E.坚持物质文明和精神文明两手抓,实行依法治国和以德治国相结合2.清退保密文件时,不正确的做法是(ABD)。

A.摘录文件内容B.复制原文C.按原文标注密级处理D.按平件清退

3.按照行政层级划分出的我国政府机关的类型是(AB)。

A.中央国家行政机关和地方国家行政机关两大类

B.一般地方国家行政机关和基层国家行政机关两类

C.常设机构与非常设机构两类

D.领导机构,办公、议事机构,职能机构和派出机构四类

4. 发生在互不统属的机构之间的关系可能有(CD)。

A.协调关系B.指导关系C.业务关系D.协作关系

5.恩格斯提出的哲学基本问题的涵义有(BD)。

A.思维对存在的能动性的问题B.思维和存在何者为第一性的问题

C.思维主体和思维客体的关系问题D.思维能否反映存在的问题

6.我国刑法规定,刑罚分为(AB)。

A.主刑B.附加刑C.独立刑D.自由刑E.耻辱刑

7. 可以用来发布规章的文种有(AC)。

A.命令B.决定C.通知D.通告

8. 下列(BEFG)属于可供选择的数据项目。

A.发文机关B.发文字号C.成文日期D.标题

E.签发人标志F.主送机关G.抄送机关

9. 生产关系的客观性的含义包括(ABDE)。

A.生产力的状况决定生产关系的变化发展

B.人们总是生活在一定的生产关系中,不能随意选择

C.人们不能干预生产关系的变化

D.生产关系体现的是人与人之间的物质关系

E.生产关系的三个方面互相联系、互相制约,不以人的意志为转移

10.虽然改革开放以来我国实现了从计划经济到市场经济、从粗放增长到集约增长、从封闭经济到开放经济的战略转变,但也面临着内外一系列复杂的局面,存在着一系列经济安全问题,主要包括有(ABC)。

A.战略资源B.关键产业C.金融风险D.外资入侵E.经济犯罪

11.我国现阶段存在按劳分配、经营收入、资产收益等多种分配方式,其经济依据是(ABC)。

A.存在商品货币关系,实行社会主义市场经济C.公有制内部实行多种经营方式

B.存在以公有制为主体的多种经济成份D.土地、资产等是创造国民收入的源泉

12.全国人民代表大会常务委员成员中可以连续任职超过两届的是(BD)。

A.委员长B.秘书长C.副委员长D.委员

13.人们必须重视间接经验和书本知识,这是因为(BC)。

A.社会实践是人类认识的基础B.认识的发展具有继承性

C.一个人不可能事事都亲自实践D.间接经验和书本知识也是认识的源泉

14.著作权法保护的作品包括(ABCD)。

A.口述作品B.计算机软件C.楼房设计图纸D.舞蹈作品

15.世界各国宪法监督体制有(ABD)。

A.司法审查制度B.立法机关监督C.社会组织监督D.专门机关监督

16.在社会主义现代化建设过程中改善党的领导,加强党的领导,应该(ABCDE)。

A.改善领导作风B.加强党的建设

C.坚决克服党内存在的消极腐败现象D.健全党内民主E.完善党的民主集中制

17.胡锦涛在“七一”讲话中指出,各级领导干部都要牢固树立全心全意为人民服务的思想和真心真意对人民负责的精神,做到(ACD)。

A.心里装着群众B.用实际行动感动群众C.工作依靠群众D.一切为了群众

18.直接经验和间接经验的关系是(AB)。

A.源与流的关系B.二者统一的基础是实践

C.直接经验可靠,间接经验不可靠D.直接经验不可靠,间接经验可靠

19.我国民主党派的参政作用表现在(ABDE)。

A.参与国家政权B.参与国家大政方针和国家领导人选的协商

C.参加政府,成为执政党之一D.参与国家事务的管理

E.参与国家方针、政策、法律、法规的制定执行

20.通报的总体结构包括(AC)。

A.标题B.主送机关C.正文D.通报的日期

三、判断题(判断每题的正误,正确的打“√”,错误的打“×”。每题1分,共10分)

1.在社会主义市场经济体制下,作为政府不直接干预企业的生产经营活动。()

2.社会主义市场经济和资本主义市场经济都有计划,也都有市场,其区别是哪一个多一些,哪一个少一些。(×)

3.恩格斯说,马克思最大的贡献是发现了阶级斗争和剩余价值学说。(×)

4.考任制源于西方的文官制度,为亚洲各国所效仿。(×)

5.哲学和各门具体科学的关系是绝对与相对的关系。(×)

6.“三个代表”是对党的性质、宗旨、根本任务的新概括,是对马克思主义建党学说的新发展。()

7.现阶段我国爱国统一战线包括两个范围的政治联盟:一个是工农联盟;另一个是由全体社会主义劳动者和拥护社会主义的爱国者所组成的政治联盟。(×)

8.马克思和恩格斯在唯物主义发展史上第一次对人类社会实践活动特别是社会物质实践活动给予了充分的注意,得出了“全部社会生活在本质上是阶级斗争的”这一结论。(×)

9.公文处理是指对公文的撰制、传递、处理与管理,是使公文得以形成并产生实际效用的全部活动。()

10.国家公务员的级别按照所任职务及所在职位的责任大小、工作难易程度以及国家公务员的德才表现、工作实绩和工作经历来确定。()

四、辨析题(每题5分,共15分)

1.财政收入的减少一定使供给增加。

错误。当然,财政收入的减少意味着企业上缴税额减少,这样,企业可能将少缴的税额用于扩大再生产,生产出更多的社会产品,社会供给随之增加。但是,由于企业有自己发展的特殊利益,它可能将财政少收的那部分收入用于消费支出,而不是用于扩大再生产,这样一来,社会供给就并未随之增加,因此这种说法不正确。

2.我们党是最低纲领与最高纲领的统一论者。

正确。一个政党的纲领就是一面旗帜。在革命、建设和改革的各个历史阶段,我们党既有每个阶段的基本纲领即最低纲领,也有确定长远奋斗目标的最高纲领。我们党是最低纲领与最高纲领的统一论者。党的最高纲领为最低纲领的制定和实施指明方向,而最低纲领又为最高纲领的成功实现准备条件。

3.社会主义民主政治既是手段,又是目的。

正确。社会主义民主政治作为一种政治上层建筑,是建立在社会主义经济基础之上的,归根到底是为自己的经济基础服务的,是建设社会主义物质文明和精神文明的政治保证。从这个意义上说,社会主义民主政治是一种手段。然而,社会主义民主政治作为无产阶级和劳动人民解放的标志,作为人民掌握政权、当家作主的权利,作为社会主义的本质要求和内在属性,它又是社会主义建设的目标和人们追求的目的。目的和手段的关系是相对的,它们在一定条件下,在一定意义上可以相互转化。社会主义民主政治既是手段,又是目的,是对社会主义民主政治在整个社会主义事业中的地位和意义的完整概括,这有助于增强我们建设社会主义民主政治的自觉性和坚定性。

五、案例分析题(每题7.5分,共15分)

1.C处是某局的一个处,长期以来,这个处的工作一直做得比较差。2003年,C处实行处长负责制,领导班子由A处长和两个副处长组成。A处长实践经验丰富,有较强的决断能力和组织指挥能力,事业心强,为人正派,思想作风端正。A处长认为,既然实行处长负责制,C处的行政工作我说了算,不必与两个副处长商量。两个副处长则想,既然我们没有

发言权,就索性对处里的工作放手不管,袖手旁观。结果,他们共事一年多,非但没有改变C处的落后面貌,而且他们的关系越来越紧张,有时搞得很僵,使工作难以开展。请用行政领导方面的原理对此进行分析。

实行行政首长负责制并不是搞家长式领导。行政首长必须有民主意识,有了民主意识才能主动地搞好配合。在处理上下级关系以及同事关系上,需要互相尊重、互相商量的民主待人方式,从而实现通力合作、密切配合的目的。就案例中的事实而论,A处长在做决策之前,应当征求两个副处长的意见,充分发挥他们的积极性和责任心,两个副处长也应积极参与,配合A处长做好处里的行政工作。只有这样,才能避免产生内耗,从而增强领导班子的整体功能,提高行政效率。

2.张强因犯故意杀人罪被判有期徒刑10年,刑满释放后无业谋生,遂纠集李大、李

二、李三组成“自由帮”,经常进行盗窃、抢劫等犯罪活动。一日,张强与李大、李二商量抢劫生意兴隆的千里马鞋店。李三知道后不想去,张强便骗他说,大家只是挑两双鞋穿罢了,出了事由张强一人顶着。四人在抢劫时被片警和附近老百姓抓获。

问:(1)“自由帮”属于何种性质?为什么?

属于犯罪集团。其符合犯罪集团特征:①三人以上;②经常纠集在一起进行一种或数种严重的犯罪活动;③重要的成员固定或基本固定,有明显的首要分子;④都是有预谋的实施犯罪;⑤不论作案次数多少,对社会造成的危害和所具有的危险性都很严重。

(2)李三是否具有法定减轻情节?为什么?

李三不具有法定减轻情节。李三不是胁从犯,因为他不是被胁迫参加犯罪的;被欺骗参加犯罪的,新刑法规定不属于胁从犯的情形。

(3)对张强应如何量刑?

对张强应按照犯罪集团所犯的全部罪行处罚;张强同时构成累犯,对累犯应当从重处罚。

六、论述题(共10分)

试述经济基础和上层建筑的辩证关系,并说明当前我国政治体制改革的必要性。

(1)相互关系:①上层建筑和经济基础的统一构成社会形态,二者相互作用,矛盾运动,推动社会形态的发展。经济基础是指一定社会占统治地位的生产关系总和;上层建筑是指建立在经济基础之上的政治法律等制度、设施和社会意识形态。②经济基础决定上层建筑:上层建筑是适应经济基础需要而产生的;经济基础性质决定上层建筑性质;经济基础的变更决定着上层建筑的变更。③上层建筑对经济基础有巨大反作用,它为自己的经济基础服务。上层建筑为先进经济基础服务时,推动社会进步;为落后的经济基础服务时,则阻碍社会的前进。

上一篇:大寨镇中心学校学校内涵发展工作总结下一篇:民族采风活动