数字逻辑课程设计任务书N

2024-08-04 版权声明 我要投稿

数字逻辑课程设计任务书N(精选6篇)

数字逻辑课程设计任务书N 篇1

一 教学目标

<一> 课程性质

数字电子技术课程设计是学生的专业基础课。课程设计是以实验为基础在教师指导下独立查阅资料、设计和调试特定功能的电子电路。课程设计对于提高学生的电子工程素质和科学实践能力非常重要,是由学生自行设计和自行调试的综合性训练。<二> 课程目的

训练学生综合地运用所学的<模拟电子技术><数字电子技术>的基本知识,独立、完整地设计一定功能的电子电路,并培养设计软件应用和仿真等综合能力。

二、设计内容基本要求 〈一〉课程设计题目

1、多功能数字钟设计

要求:1)由振荡器输出稳定的高频脉冲信号作为时间基准,经分频器输出标准的秒脉冲。

2)秒计数器满60向分计数器进位,分计数器满60向小时计数器进位,小时计数器尽量按“12翻1”规律计数,计数器经译码器送到显示器。

3)计数出现误差可用校时电路进行校时、校分、校秒。扩展要求:4)具有可整点报时与定时闹钟的功能。

2、数字式竞赛抢答器

基本要求:1)设计制作一个可容纳四组参赛的数字式抢答器,每组设置一个抢答按钮供抢答时使用,且电路具有第一抢答信号的鉴别和锁存功能。

2)在主持人将系统复位并发出抢答指令后,用数码管显示倒计时时间,且数字显示第一抢答组别且该组别对应指示灯亮,同时电路应具有自锁功能,使别的抢答开关不起作用。3)对提前抢答和超时作答的组别鸣喇叭示警,并由组别电路显示出犯规组别。扩展要求:4)设置计分(含加分与扣分)电路。

3、交通灯控制电路

基本要求:1)

设计一个十字路口的交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间可在10至99秒范围内设定,时间应可分别设定并易于设置修改。

2)在绿灯转为红灯时,要求黄灯先亮5秒钟(包含在30至90秒范围内),才能变换运行车道;黄灯亮时,要求每秒闪亮一次。

3)甲、乙车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用倒计时的方法),并同步设置人行横道红、绿灯指示。

扩展要求:

4)可模拟实际路口指示灯增加相应控制功能。

4、洗衣机控制电路设计

基本要求:1)

设计一个洗衣机控制器,控制洗衣机电机作如下运转:

2)用数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直至时间到而停机。洗涤定时时间在1-10分钟内用户任意设定。

3)正反转工作由发光二极管闪烁及数码管倒数指示。

4)洗涤过程在送入预置时间后即开始运转,洗涤结束发出提示音响信号。扩展要求:

5)洗衣机具有预约功能,可根据设置时间延迟工作。〈二〉设计步骤

设计一个电子电路系统时,首先必须明确系统的设计任务,自学与指定设计题目有关的参考资料;根据任务进行方案选择,然后对方案中的各部分进行单元的设计、参数计算和器件选择,最后将各部分连接在一起,画出一个符合设计要求的完整系统电路图。

1、设计任务分析

对系统的设计任务进行具体分析,充分了解系统的性能、指标内容及要求,以便明确系统应完成的任务。

2、方案论证

这一步的工作要求把系统的任务分配给若干个单元电路,并画出一个能表示各单元功能的整机原理框图。

方案选择的重要任务是根据掌握的知识和资料,针对系统提出的任务、要求和条件,完成系统的功能设计。在这个过程中要用于探索,勇于创新,力争做到设计方案合理、可靠、经济、功能齐全、技术先进,并且对方案要不断进行可行性和优缺点的分析,最后设计出一个完整框图。框图必须正确反映系统应完成的任务和各组成部分功能,清楚表示系统的基本组成和相互关系。

3、方案实现 1)单元电路设计

单元电路是整机的一部分,只有把各单元电路设计好才能提高整体设计水平。每个单元电路设计前都需明确本单元电路的任务,详细拟订出单元电路的性能指标,与前后级之间的关系,分析电路的组成形式。具体设计时,可以模仿成熟的先进电路,也可以进行创新或改进,但都必须保证性能要求。而且,不仅单元电路本身要设计合理,各单元电路间也要相互配合,注意各部分的输入信号、输出信号和控制信号的关系。

2)参数计算

为保证单元电路达到功能指标要求,就需要用电子技术知识对参数进行计算。例如,放大 电路中各阻值、放大倍数的计算;振荡器中电阻、电容、振荡频率等参数的计算。只有很好地理解电路的工作原理,正确利用计算公式,计算的参数才能满足设计要求。

3)器件选择

阻容元件的选择:电阻和电容种类很多,正确选择电阻和电容是很重要的。设计时要根据电路的要求选择性能和参数合适的阻容元件,并要注意功耗、容量、频率和耐压范围是否满足要求。

分立元件的选择和集成电路的选择:选择的分立元件或集成电路不仅要在功能和特性上实现设计方案,而且要满足功耗、电压、速度、价格等多方面的要求。

4)在电脑上应用虚拟电子工作台(EWB或Multisim)进行设计、调试、仿真。5)安装调试:

安装与调试过程应按照先局部后整机的原则,根据信号的流向逐块调试,使各功能块都要达到各自技术指标的要求,然后把它们连接起来进行统调和系统测试。

三、成绩评定的依据:

1、电路布局及安装焊接工艺(20%),如果不要求做实物电路的,根据仿真软件的设计电路布局评分。

2、验收实物电路功能及性能指标,并进行相应原理设计答辩。(45%)

3、设计报告的撰写(35%)老师根据学生设计全过程的表现,验收情况以及设计报告书给出综合成绩(成绩分优、良、中、及格、不及格五级)。如果发现设计报告雷同,一律做不及格处理。

四、课程设计报告要求:

封面:按照广工大课程设计报告的封面要求,要求学院专业班别学号用全称并且统一格式。正文内容包括: 1)设计题目 2)设计任务和要求 3)原理电路和程序设计:

(1)方案比较;(2)整体电路(标出原元件型号和参数、画出必要波形图);(3)单元电路设计;(4)说明电路工作原理;(5)元件选择。

对报告中的电路图要求

A原理图中元件电气图形符号符合国家标准;

B整体布局合理,注标规范、明确、美观,不产生歧义。C列出完整的元件清单(标号、型号及大小、封装形式、数量)D图纸幅面为A4。

E 流程图的符号采用国际标准。

设计报告统一采用小四宋体,行距为1倍 4)电路和程序调试过程与结果:

对模拟电路应有理论设计数据、实测数据、仿真数据和误差分析,数字电路应有设计逻辑流程、波形图、时序图或真值表。

5)总结

总结作品的优点和不足的地方,以后可能的改进方案,通过这次课程设计的心得体会。附录:完整的电路PCB图,完整的源程序名列表(不需要把源程序打印出来,作为电子文档提交)。

五、主要参考书目:

1、童诗白、华成英,《模拟电子技术基础》;

2、康华光,《电子技术基础》模拟、数字部分;

数字逻辑课程设计任务书N 篇2

关键词:计算机专业,课程教学,教学方法,思维方式

《数字电路与逻辑设计》课程是计算机专业的一门专业基础课程, 也是主干课程, 是《微机原理》、《单片机及接口技术》、《计算机组成原理》等课程的先导课程, 在整个计算机硬件相关知识学习中具有重要的地位。其教学目的是引导学生了解计算机硬件的组成、掌握硬件的工作原理、进行计算机硬件的电路分析与设计等等。因此, 这门课程的教学中理论性和实践性要求都非常高, 如何提高教学质量, 培养出具有创新精神和创新能力的合格人才, 是我们在教学方法探讨中要解决的首要问题。

一、独立学院计算机专业《数字电路与逻辑设计》课程教学存在的主要问题

(一) 学生因其基础较差, 对课程的地位认识不够。

独立学院计算机专业的学生因其基础较差, 对计算机科学知识体系缺乏整体把握, 还没有一个完整的专业概念。因此, 往往对电路方面的课程没有兴趣或缺乏重视, 并且缺乏相应的扎实的电路基础知识。所以, 当其接触到《数字电路与逻辑设计》这门课程之后, 想要学好但又觉得心有余而力不足, 有一种使不上劲的感觉。

(二) 因独立学院沿袭了母体学校的教学方案和教学大纲, 教材内容理论性太强, 知识陈旧, 不适宜于独立学院学生。

很长时间里, 独立学院的计算机专业《数字电路与逻辑设计》的课程基本上沿用母体学校的教学方案和教学大纲, 多采用电气信息类专业的《数字电路》课程的教材, 教学内容系统性和理论性较强, 理论偏多偏深, 不适宜于独立学院学生。加之, 随着计算机、微电子等技术的迅速发展, 数字设计的规模也越来越大, 仅介绍这些传统的中、小规模集成电路设计的传统技术方法显然不符合当前发展的需求。

(三) 实践教学环节设计不适宜。

一般说来, 本门课程都开设有与之相应的实践实验课程, 但是从其实践教学设计来讲, 并不是十分科学。就其实践内容来说, 大多是对理论教学的简单验证, 当学生进行这些验证实验时, 知识结论已先入为主, 当实验数据和理论数据不符合时, 学生往往不去探究为什么数据不相符合, 只是以理论为依据去修正实验所得的数据, 这与实践教学的目的大相径庭。加之, 从实验模式来讲, 缺乏对学生的实践动手和创新能力的训练, 导致学生实践完后还不会正确使用各类常用仪器。并且这种实验方式限制了学生的自主创新的思维能力, 导致学生产生厌学情绪。

二、改善独立学院计算机专业《数字电路与逻辑设计》课程教学的主要措施

(一) 树立信心, 帮助学生克服畏难心理。

就独立学院计算机专业的学生来讲, 他们对本专业的认识有偏向, 所以对软件类课程非常重视, 主观地认为计算机专业就是学习如何用各种编程语言来编写程序, 而对计算机硬件知识不那么看重, 并且不具备相应的知识储备, 所以导致在学习的过程中学不懂, 从而没有信心学好这门课程, 渐渐地出现畏难心理, 因此帮助学生克服这种畏难心理是首先要解决的问题。笔者认为, 可以通过引入生活实例和软、硬件知识相结合的方法解决这个问题。学生们之所以认为计算机硬件离他们很远是因为他们感觉不到计算机硬件与日常生活中遇到问题之间的联系, 之所以认为计算机硬件知识很难懂是因为很多计算机硬件类课程在讲授过程中只讲理论知识, 没有动手与实践环节。实际上, 《数字电路与逻辑设计》课程的实用性很强, 与现实联系相当紧密, 如日常生活中的数字产品就有交通信号灯、数字电表、电子数字显示系统、各类家用电器和家用计算机等等, 在教学的过程中可以通过这些实例及现实中遇到的问题探讨来激发学生对计算机硬件知识的兴趣, 将这生活实例融入教学。另外, 针对学生们更熟悉软件类知识的特点, 可以在课程讲授过程中将计算机软件知识与硬件知识结合起来, 使学生形成一个计算机系统的概念, 同时还可以将《数字电路与逻辑设计》课程中一些难懂的硬件知识类比为容易理解的软件问题。通过帮助学生树立信心, 克服畏难心理, 从思想上改变学生们对计算机硬件知识的认识, 从而可以很好地提高他们的学习兴趣。

(二) 转变思维方式, 深刻理解“二进制”。

在日常生活中, 人们主要使用“十进制”, 所以已经形成用连续的思维来思考问题的习惯。与习惯不同, 计算机使用的是“二进制”, 尽管学生知道这种“二进制”, 但也只是机械理解, 没有真正深入其内涵, 这会直接影响他们对计数制、逻辑函数等的学习, 进而影响对组合逻辑电路和时序逻辑电路的分析与设计。所以, 《数字电路与逻辑设计》课程需要培养学生用离散性思维来思考问题, 我们可以用电路开关的“通”和“断”、灯的“亮”和“灭”来表示逻辑值的“真”和“假”, 这也正是数字电路中的二值逻辑。在课堂教学中通过对学生已掌握的物理学上的串、并联控制开关电路进行分析, 将数字逻辑的基本原理具体化, 以加深学生对布尔代数的理解。

(三) 将孤立的知识点串连起来, 形成系统。

《数字电路与逻辑设计》课程的特点是:知识量大, 知识点多, 记忆难, 应用范围广;与微电子技术和集成电路制造技术密切相关;需要理论和应用实践相结合;教学离不开课堂和实验两个环境。因此, 在有限的课堂教学中抓住重点以提高学生快速吸收和运用知识的能力, 是非常重要的, 这就需要在教学中把将孤立的知识点串连起来, 形成一个知识系统, 以便于学生理解和掌握, 使他们对教学内容有一个整体的把握, 总结出众多知识点的主线, 这样学生在课后复习时才能更有针对性地对细节知识进行深入理解。

(四) 理论教学和实践教学相结合, 突出实践性。

《数字电路与逻辑设计》是一门实践性要求很强的课程。学生学习了数字系统的基本概念、原理和方法等理论知识以后, 应该在实践中加深对书本知识的理解, 进一步掌握和巩固所学知识, 同时激发学生的学习热情, 丰富和拓展学生们的实践动手能力。而就独立学院学生来讲, 他们更喜欢动手参与实践, 而不喜欢坐在教室听理论。因此, 独立学院的这门课程就更加需要突出其实践性。在实践性教学安排中, 要注重实践性教学的层次。首先, 课内实验是基础。通过课内实验有效地提高学生的自学能力、动手能力、分析能力和解决实际问题的能力。其次, 综合性实验是导向。强调以科学验证、系统设计、综合创新能力培养的综合性实验, 使学生既具有使用分立元器件和中小规模集成电路的能力, 又具有使用大规模集成电路和可编程逻辑器件的综合能力。第三, 以小组为单位, 推进拓展性实验。不同于课内实验和综合实验, 拓展性实验要求更高, 要求学生在设计中自己做出设计方案和决策。这种能力并不是所有的学生都具备, 因此可以通过组建小组的方式, 团体根据设计任务书中的要求, 自己探索研究、查阅资料、获取数据、确定方案、选择流程和元器件等, 并对设计方案进行选择、论证、核算和评述。通过这种方式, 不仅可以高质量地完成实践教学, 还进一步培养了学生的团队精神和集体观念。

(五) 充分尊重学生的教学主体地位。

在教学过程中, 教师应该以学生为中心, 充分尊重学生的教学主体地位, 以兴趣培养为主, 积极引导、调动学生学习、实践的积极性, 以达到教学的目的。首先, 开展交互式学习。在教学过程中充分与学生开展互动, 通过问题式教学、启发式教学、案例式教学, 主动调动学生积极性, 吸引学生参与分析、讨论和表达, 使学生能够自愿地跟着教师的思路走, 起到良好的课堂效果。其次, 教学要基于教材而不拘泥于教材。教师要善于利用教材, 抓住重点, 利用目前先进的多媒体设备, 课件中结合声音、动画等表现手段以最佳教学手段来呈现教学内容, 还可以充分利用目前丰富的网络资源为教学服务。同时还要结合最新发展的科技技术, 与时俱进, 调整教材内容, 开展层次分明、丰富多彩的课堂教学活动。第三, 要注重教学方法和教学艺术。上课要有激情, 对待学生要有敬业精神, 用激情感染学生, 用丰富的知识征服学生。电子教案和板书相结合, 深入浅出地进行讲解。因此教师在每次授课前都需要不断更新授课内容, 在不断拓宽自己知识的同时, 还要有选择性的把这些知识进行提取、归纳和总结, 选择那些学生容易接受的新技术, 以学生容易理解的方式讲授给他们。第四, 注重实验设计。在实验设计中, 要有针对性, 注重学生的主体性地位, 设计要善于发掘学生的创新能力。

总之, 《数字电路与逻辑设计》课程作为计算机专业的一门专业主干课程, 需要凸显其在教学中的重要地位, 只有这样才能达到这门课程的教学目的。独立学院的此门课程教学更需要结合学生、学校、教师、人才培养目标、实验实习条件等实际情况开展深入的改革, 才能更好地提高本门课程的教学质量。

参考文献

[1].管冰蕾, 胡家芬.计算机专业《数字电路与逻辑设计》课程教学改革的研究[J].时代教育, 2009

数字逻辑课程设计任务书N 篇3

关键词:数字逻辑精品课程

1精品课程建设的立足点

教育部对于高校精品课程建设非常重视,相关文件中说到:“…切实推进教育创新,深化教学改革,促进现代信息技术在教学中的应用,共享优质教学资源,进一步促进教授上讲台,全面提高教育教学质量,造就数以千万计的专门人才和一大批拔尖创新人才,提升我国高等教育的综合实力和国际竞争能力,我部决定在全国高等学校(包括高职高专院校)中启动高等学校教学质量与教学改革工程精品课程建设工作…”[1]。从上述文件中可分析出,精品课程建设的目的就是要进行教育创新和改革、信息技术的应用和优质教学资源的共享。因此,任何一门课程的精品化建设步骤也应该遵循上述几个要求。

下面我们是依据上述要求,实施《数字逻辑》建设中的探索实践和体会。

2教学创新

创新的含义比创造更加宽泛。创造是指首创前所未有的新事物,而创新则还包括将已有的东西予以重新组合、引入产生新的效益[2]。因此,在《数字逻辑》课程的教学中将已有教学方法、理念予以重新组合并引入到实际的教学过程中,就是一种教学创新。

3课堂教学改革

这里所指的课堂教学改革,就是在上述教学创新思想的指导下,将已有课堂教学方法、理念予以重新组合并引入到实际的课堂教学过程予以实施。

互动式教学是在《数字逻辑》课程课堂教学中引入的一种已有方法,在实施过程中,针对《数字逻辑》课程的特点,做出了如下重新组合:

1) 互动对象的重新组合

一般互动对象为一个老师提问一个学生,然后由此学生思考并回答。而笔者的做法是将授课班级的全体学生进行分组,每个小组为一个提问、分析和回答的对象。而在进行答案陈述时,由不同的学生分别就自己所分析解决的问题进行回答。

2) 互动方式的重新组合

互动方式由“老师当堂提问,学生当堂回答”改变为:老师课堂提出问题,学生小组协商和讨论。如果能当堂讨论出结果的问题,则当堂回答;不能当堂出结果的,就改为课后小组协作环境下查询资料,得出答案。

3) 互动内容的重新组合

互动内容不再局限于书本内容和上课内容。而是要求学生根据自己的生活体验来进行举例和总结。

通过上述重新组合的互动式教学能避免了单一互动对象有任务,而非互动对象处于闲置的问题。同时,能提高学生相互协作的能力,引入日常生活中的例子提升了授课内容的通俗性,使得授课内容的趣味性增加,提升了学生学习的积极性。

4信息技术的应用

教育部在《关于进一步加强高等学校本科教学工作的若干意见》(教高[2005]1号)中指出“…按照教育规律和课程特点,推动多媒体辅助教学,不断提高教学效果…”[3]。因此在教学过程中,以多媒体技术和网络技术为依托,制作高质量的多媒体课件,全方位地提供方便、有趣的多媒体学习课件能从而极大地调动了学生的学习积极性,使得学生变被动学习为主动学习,在轻松愉快的环境中掌握更多的专业知识。在《数字逻辑》精品课程建设中,不仅向提供了优质的多媒体教学课件,而且还提供了网络答疑环境以及教学视频。

5优质教学资源的共享

加快教学资源建设是课程建设发展的关键,实践表明,开发和制作内容丰富、适合于学生自主学习的高质量的教学资源,势必促进精品课程的建设和发展。我们以“数字逻辑”的教学大纲为依据,对文字教材、音像教材、计算机辅助教学课件、网上教学等多种教学媒体,在易于学生自主学习的前提下,进行了全方位、一体化的研究、设计、开发和制作。

我们通过教材建设、课程网站建设和网络课件的完善,将“数字逻辑电路”课程建设成为特色鲜明、示范性较强的精品课程;实现优质教育资源的共享,使师生都能从本课程建设的成果中受益;加强教材建设,跟踪电子技术发展,选择了高水平、高质量的教材;加强师资建设,合理调整教学队伍知识结构,提高整体教学素质。

我们将进一步加强师资建设,构筑结构更加合理、人员稳定、教学效果好的教师梯队;进一步深化教学改革,包括采用更加灵活的教学手段,及时更新教学内容,以适应时代的发展;完善网上课程资源,如题库的扩充、参考资料的更新等,进行网上教学资源的开发建设,构建完善的网络教学平台;进一步建设高水平、高质量的教材,建设和完善高质量的多媒体课件;加强教学的实践环节,学习国内外先进的实验教学方法,提高实验水平。增加实验投入,进一步完善课外科技活动,争取能有更多的学生参与进来,使大学生综合能力得到提高。

下一步,我们还将继续补充和完善现有网上资源;完善、更新电子教案、 CAI 课件、习题库、试题库等;继续更新实验内容;还将加强主讲教师与学生之间的网上交流。

6结束语

《数字逻辑》是计算机科学与技术专业重要的专业基础课,信息科学与技术学院将《数字逻辑》作为2013年精品课程建设。一方面,《数字逻辑》是计算机类学科最重要的专业基础课之一,是学生巩固理论知识,学好众多专业课程的基础,将影响学生对以后专业课程的学习兴趣;另一方面,它具有极强的逻辑性和实用性,通过这门课程的学习,可以培养学生动手能力和创新能力。目前,懂数字电路设计、FPGA 的专业人才备受用人单位青睐,这赋予了“数字逻辑电路”课程教学更加重要的现实意义。

参考文献:

[1] 关于启动高等学校教学质量与教学改革工程精品课程建设工作的通知

[2] 周振铎,周赞梅教学创新的技术

[3] 刘银屏,陈惠珊 “数字电子技术”实验教学改革的探讨[J] 实验室研究与探索,2006,(8)

[4] 张磊,刘元勋 数字电子技术教学中教学方法的探讨[J] 实验技术与管理,2007,(1)

[5] 黄杰勇,邓春健 数字逻辑电路与语言相结合的教学方法探索[J] 现代计算机,2008(11):56-58

[6] 鄧元庆,关宇,贾鹏 数字设计基础与应用[M] 北京:清华大学出版社,2006

[7] 汤永华,李晓游,孙洪林,等 基于EDA 技术的数电课程设计新模式的探索与实践[J] 实验室技术与管 理,2008,25(1):124-126

[8] 杨帆 现代数字逻辑电路教学探讨[J] 企业技术开发,2009(6):157-158

[9] 颜学松,樊媛媛,墙威 数字逻辑课程设计的教学探讨[J]科教探讨,2008(8):31-31

[10] 管冰蕾,胡家芬 计算机专业数字逻辑课程教学改革的研究[J] 时代教育,2009(3):99-101

注:本文为九江学院信息科学与技术学院2013年度精品课程立项项目

数字逻辑课程设计任务书N 篇4

“电子技术基础(数字部分)”课程是一门集知识与技能为一体,实践与操作性很强的课程,其知识点多、散、碎,学生完全掌握这门课程有一定的难度。授课中必须激发学生的主观能动性,提高学生学习的兴趣,鼓励学生勤于思考,敢于实践,在实践中加深对知识的认识和理解。

一、任务驱动教学法的含义

任务驱动教学法也叫任务型教学法,最初是20世纪80年代外语教学研究者经过大量研究和实践提出的一个具有重要影响的语言教学模式,它把语言运用的基本理念转化为具有实践意义的课堂教学方式。任务驱动教学法具备以下特点:(1)任务驱动教学法的核心是“以学习者为中心”,在学习过程中,教师不再是主导,知识内容的教授和进度的把握也不由教师决定,而是学生根据任务决定学习的内容,把握进度,教师只是起辅助指导的作用。(2)课堂教学内容不再以以前的“知识点”为线索,而是以“任务”为线索,以“子任务”为模块,精心组织教学内容,帮助学生将零散的知识点串联起来,让学生由浅入深的学习,使其符合学生的认知特点。(3)任务驱动教学法,不但具有真实性和交际性,而且有利于激发学习者的学习兴趣和内部学习动机,让学生主动去分析任务,发现问题,想办法运用知识解决问题;并且根据“任务”的需求来学习,变被动地接受知识为主动地寻求知识,由“学会”到“会学”,改变学生传统的学习观。(4)在完成任务的过程中,学生会积极地去思考、探索,每个人的知识储备不同,结合教师的引导、交流,使他们能够在讨论中理清思路,相互取长补短,充分调动了学生的学习积极性,共同去解决问题,既培养了他们的团队合作能力,又培养了他们的创新和合作意识。

二、《电子技术基础(数字部分)》课程中任务驱动教学法的应用

“数字电子技术”是一门集知识与技能为一体,实践和操作性很强的课程,其知识点多、散、碎。授课过程中必须激发学生的学习兴趣,以提高学生的学习主动性为根本出发点,鼓励学生勤于思考、擅于总结、敢于实践。数字电子技术的课程特点非常契合任务驱动教学法的教学理念。数字电子技术知识点多,涉及到的元器件种类繁多,要一一记忆有很大的难度,而且元器件之间还非常容易混淆,通过任务驱动教学法,可以指导学生主动去学习元器件的工作原理,区分这些元器件的不同之处,在应用实践中加深对元器件的认知。另外,数字电子技术是一门应用性很强的课程,课程中涉及到电路的分析和设计,单纯的教学只能给学生一个解决问题的思路,真正的掌握需要学生们在实践中去摸索,发现问题、解决问题,在过程中学习,加深对多零散知识点的理解。

在数字电子技术课程中,实践任务驱动教学法对任务的设计是授课老师教学水平的体现,也是决定教学效果的关键。任务的设计必须根据数字电子技术课程的教学大纲和教学目标,结合学生的实际情况精心设计。

一方面,在教学时,将内容设置成一个个综合任务来教学,学生在明确目标的任务驱动下,自主学习,将零散的知识点有机地串起来,形成一个系统的理论。同时对知识点能够灵活运用,加深对知识的理解。比如,组合逻辑电路的分析和设计、异步时序电路的分析和设计,可以将任务分解,每位学生完成其中的一个步骤,共同完成一个综合任务,这样学生在共同完成一个综合任务的过程中就可以互相讨论,将知识点串联起来学习,在解决任务的过程中形成知识体系。

第三,任务要求要明确,要具有可操作性。学生只有在具体的实践操作中才能真正理解和掌握知识点。对于数字电子技术的课程内容,本身包含着是电路系统的分析和设计,学生首先要明确任务需求,根据需求分析去设计方案。所以,目标过于笼统、抽象,学生很难分析安排实践步骤,会无从下手。任务还需要易于操作,保证利用现有的教学条件能够完成这些任务,让学生能够顺利地完成任务,真正掌握学习内容。

数字电子技术的课程教学具有很好的实践验证意义。在任务设计中,可以有意识地将问题的分析、设计、仿真验证、实物完成作为一个整体。这样,学生可以逐步深入地学习知识、理解知识、掌握知识,最终灵活地应用知识;同时还可以和别的课程融会贯通,形成完整的知识体系。

第四,任务的难度要由容易到困难,有层次;任务数量要适度,不能过多或偏少。过多超出了学生的能力范围,会让学生有挫败感,打击学生的学习积极性;过少,达不到课程目标,学生无法深入系统地掌握知识。设计任务时要照顾到学生的个体差异,根据学生的个人能力安排难易度不同的任务,确保安排给学生难度适中的任务。太难的任务会打击学生学习这门课程的热情,让学生望而生畏,无法充分调用学生的学习积极性,不能达到主动学习的目的。容易的任务让学生体会到自主学习的乐趣,但是在解决问题的过程中没有充分地学习知识,达不到深入学习的目的。要注意给学生留一定的探索和自我开拓的空间,培养学生分析解决问题和自学的能力。同时,教师要注意安排的任务数量要适中,确保学生在规定学习时间内能够完成,既不能因任务少造成学生盲目乐观,又不能因任务过多使学生产生不能及时完成而产生的挫败感,从而对数电课程学习产生负面情绪。

在完成任务的过程中,鼓励学生共同协同完成任务,让学生在讨论中学习知识、理解知识、强化知识,培养他们的团队合作能力,增强他们发现问题并且自己解决问题的能力。

第五,数字电子技术的任务安排要便于评价,易于检查、考核,最好有明确的结果,最好是以系统设计的方式,一个一个的电路系统设计来安排任务,通过任务分析、变量确定、逻辑功能表、真值表、卡诺图化简、逻辑表达式、逻辑图、波形图这几个环节把握学生的学习情况,这样有利于教师了解学生的学习状态和效果,避免学生一错到底,及时进行修正,改进薄弱环节。

数字电子技术有很好的仿真环节,通过quartusⅱ软件平台可以进行设计仿真,验证任务的分析和设计结果,让学生们自检任务完成的程度,并及时进行更正修改。另外,可以提供一些项目的子模块让学生参与,锻炼学生的能力,增强学生学习的兴趣。

三、任务驱动教学法的实践体会

1.任务的设计是任务驱动教学法的关键,应围绕课程教学目标,任务要具备综合型,要有针对性、趣味性,能够突出教学目标中的重点和难点。比如,数字电子技术课程中,组合逻辑电路的分析和设计,以及时序逻辑电路的分析和设计具有很强的综合性,交通灯的电路设计具有典型性。任务要有实用性、可操作性,任务能够使学生明确学习内容。

2.教师不再是教学的主导和中心,要处理好教师与学生之间的角色。任务驱动法的特点是学生目标的驱动下,分析问题,带着问题去学习,目的是培养学生的动手能力和创新意识。所以,教师要明确学生是教学的主体,在教学过程中,教师起辅助作用,不要对学生有过多的干预。在数字电子技术任务安排后,因为数电的课程特点,很多电路设计方案并不是唯一的,所以教师要指导学生去尝试各种方案,不要帮助学生去定方案,引导学生从失败中总结出问题,更能加深学生对知识的理解。

3.最后一点实践体会是:能力是决定一个人能否取得成功的重要因素。以前,我关注的是学生对知识点的掌握,但是忽略了学生应用知识的能力。在实践过程中,我发现很多同学会学不会用,不懂得如何去分析问题,将知识点连贯起来解决问题,因而在教学过程中,教师应该更加重视学生能力的培养,教给学生分析和解决问题的方法。

四、结论

数字电路与逻辑设计教学大纲 篇5

适用专业:通信工程、信息工程、自动化、测控技术与仪器、电气工程及其自动化 课程类别:专业基础课 先修课程:电路原理 总 学 时:66 学

分:3 考核方式:考试

一、课程的性质与任务

本课程是信息工程、通信工程、自动化、测控技术与仪器和电气工程及其自动化专业学生必修的技术基础课程,是一门实践性很强的课程。通过本课程的学习,使学生掌握数字逻辑和数字系统的基础知识、基本分析方法和设计方法,培养使用标准逻辑器件的能力,初步了解可编程器件的知识,为深入学习后续课程和从事数字技术实际工作打下良好基础。

二、课程内容、基本要求与学时分配

1、绪论(2学时)

了解数字信号与模拟信号的定义与区别; 掌握各种数制间的转换; 了解常用的各种码制; 了解数字电路的分类;

2、逻辑函数及其化简(6学时)掌握布尔代数的运算规则;

掌握逻辑变量与逻辑函数的表示方法; 掌握逻辑函数的公式法化简法;

掌握卡诺图的绘制方法和用图解法化简逻辑函数;

3、集成逻辑门(6学时)

了解晶体管的开关特性;

了解TTL集成逻辑门的外部特性; 了解CMOS集成逻辑门的外部特性;

4、组合逻辑电路(8学时)掌握组合逻辑电路的分析方法;

掌握用逻辑门电路设计组合逻辑电路的方法; 掌握用中规模集成电路设计组合逻辑电路的方法; 了解组合逻辑电路的冒险现象;

5、触发器(8学时)

掌握各类触发器的特征方程和功能描述方法; 掌握基本触发器和钟控触发器的工作原理; 了解主从触发器和边沿触发器的工作原理;

6、时序逻辑电路(8学时)

掌握同步、异步时序逻辑电路的分析方法; 了解常用集成时序逻辑器件的使用方法;

掌握用小规模IC器件和中规模IC器件设计同步时序逻辑电路的方法; 了解异步时序逻辑电路的设计方法。

7、半导体存储器(2学时)

了解随机存取存储器和只读存储器的工作原理; 掌握随机存储器的扩展方法;

了解用只读存储器设计组合逻辑函数的方法;

8、可编程逻辑器件及其应用(2学时)

初步了解可编程逻辑阵列、通用阵列逻辑(GAL)、复杂可编程逻辑器件(CPLD)、现场可编程门阵列(FPGA)的结构特点和工作原理

9、脉冲单元电路(2学时)了解自激多谐振荡器的工作原理; 了解单稳触发器的功能;

了解555时基电路的结构特点和应用;

10、模数转换器和数模转换器(4学时)了解数模转换器和模数转换器的基本原理; 了解常用数模转换器和模数转换器的特性

本课程的理论教学时数为48学时,2.5学分。

三、课程的其他教学环节

本课程安排有实验教学环节18学时,0.5学分。

四、参考教材

1、《数字电子技术》庞学民主编 清华大学出版社 2005年

2、《数字电路逻辑设计》王毓银主编 高等教育出版社 1999年

3、《电子技术基础》数字部分(第四版)康华光主编 高等教育出版社 2000年

4、《数字逻辑与数字系统》白中英、岳怡、郑岩编著 科学出版社 1998年

五、说明

本课程在教学方法上采用讲授理论与实验动手相结合的形式进行,以便学生更好的理解所学的理论知识。在理论教学过程中,要注重方法的讲解,以提高学生分析问题、解决问题的能力。

大纲执笔人:刘炜

数字逻辑课程设计任务书N 篇6

1 教学方法改进

在涉及数字逻辑课程前面一部分内容, 包括逻辑代数、组合逻辑电路和时序逻辑电路等章节的教学时, 采用好的技巧或方法往往能使运算或分析更易懂、更方便且更不容易出错。下面针对数字逻辑课程中“逻辑函数表达式转换”内容提出“下标计算法”, 针对“同步时序逻辑电路设计”的原始状态图构建环节提出“趋势分析法”, 在避免教学过程中对教材内容原样照搬的同时, 更加简化计算和降低分析难度, 更大程度上避免错误的发生。

1.1 下标计算法

将一个任意逻辑函数表达式转换成标准与-或表达式是数字逻辑课程中的基础, 包括卡诺图化简逻辑函数、二进制译码器或多路选择器实现逻辑函数等内容中均会用到。教材中主要采用的是代数转换法, 分两步进行:

第一步:将函数表达式变换成一般与-或表达式。

第二步:反复使用, 将表达式中所有非最小项的与项扩展成最小项[5]。

这种转换方法第一步不可或缺, 但是第二步扩展最小项时会使逻辑函数变得更加复杂, 运算过程中更加容易出错。针对这种缺陷, 为简化计算和减少错误, 在第二步运算过程中采用“下标计算法”。这种方法是把第一步得出的一般与-或表达式中的每个非最小项的与项通过表格的形式单列出来, 然后计算出每个与项的全部最小项下标, 并且找出所有出现且不重复的下标值, 最后直接得出标准与-或表达式的简写形式。

例如, 将逻辑函数表达式转换成标准与-或表达式。

第一步:将函数表达式转换成一般与-或表达式, 即

第二步:采用“下标计算法”得出标准与-或表达式, 运算过程如表1所示。

注:表中“X”代表该变量取值任意.

从表1中可找到出现的全部不重复下标分别是0、1、3、6、7, 因而可直接得出标准与-或表达式的简写形式为

1.2 趋势分析法

在完全确定同步时序逻辑电路的设计过程中, 形成正确的原始状态图是设计的第一步也是最关键的一步, 否则设计出来的电路必然是错误的。而在同步计数器、序列检测器和代码检测器这三种同步时序逻辑电路的设计中, 序列检测器的原始状态图的建立又是其中的重点和难点。教材中所采用的方法可行但是难以理解, 学生在设计类似电路时很容易出错。针对这个问题, 采用“趋势分析法”能够较好的解决。所谓“趋势分析法”, 就是根据每个状态的存储功能和输入序列的变化趋势, 分析现态在下一个输入信号出现时应该指向哪一个次态, 这样逐步分析下去, 最后得出正确的原始状态图的方法。下面以“0101”序列检测器为例来说明用“趋势分析法”建立原始状态图的过程。

例如, 作出“0101”序列检测器的Mealy型状态图, 典型输入/输出序列如下:

输入x 1 1 0 1 0 1 0 1 0 0 1 1

输出Z 0 0 0 0 0 1 0 1 0 0 0 0

首先分析需要使用的状态数目。按照一位输入的序列检测器的一般状态数规律, 如果需要检测的序列有n位, 则状态数需要n+1个。这是因为其中第一个状态为初态, 其他n个状态用于存储n位序列的变化过程。此处待检测序列是“0101”共四位数, 故而需要五个状态。其中A状态为初始状态, B状态用于存储输入信号“0”, C状态用于存储输入信号“01”, D状态用于存储输入信号“010”, E状态用于存储输入信号“0101” (即待测序列) 。

接下来采用“趋势分析法”作出Mealy型原始状态图, 分析过程如图1所示。

“趋势分析法”分析过程说明如下:

(1) 从初态A开始, 当x=0时, 状态从A到B, 因为状态B存信号“0”, 输出Z=0;当x=1时, 状态从A到A保持不变, 输出Z=0。

(2) 此时处于状态B。当x=0时, 状态从B到B, 输出Z=0;当x=1时, 状态从B到C, 因为状态C存信号“01”, 输出Z=0。

(3) 此时处于状态C。当x=0时, 状态从C到D, 因为状态D存信号“010”, 输出Z=0;当x=1时, 状态从C到A, 因为信号“011”不能构成“0101”序列的任何一部分, 所以只能回到初态A, 输出Z=0。

(4) 此时处于状态D。当x=0时, 状态从D到B, 因为状态B存信号“0”, 输出Z=0;当x=1时, 状态从D到E, 因为已经构成“0101”序列, 并且输出Z=1 (只有检测到待测序列时输出Z=1, 否则Z=0) 。

(5) 此时处于状态E。当x=0时, 状态从E到D, 因为状态D存信号“010”, 输出Z=0;当x=1时, 状态从E到A, 因为信号“011”不能构成“0101”序列的任何一部分, 输出Z=0。注意“当x=0时, 状态从E到D”是学生分析时最容易出错的地方, 错误原因在于认为“状态应该是从E到B”, 这是没有考虑到当输入信号“0101……”重复出现时, 前一个“0101”序列的后半段能够作为下一个“0101”序列的前半段这种情况。

2 教学手段改进

为了增强学生对数字电路的感性认识, 加深学生对数字逻辑分析方法的理解, 掌握常用集成器件的基本使用方法, 提高学生学习兴趣[6], 避免枯燥的集成芯片和数字逻辑电路功能讲解。将Proteus软件引入数字逻辑课程教学, 可增强教学的生动性和直观性[7]。Proteus软件具有多种元件库, 其中的元器件大多均可直接用于实际电路的搭建, 而且该软件提供了多种与实际仪器仪表用法相似的虚拟仪器设备, 还有各种信号源, 几乎可以完成各类数字逻辑电路的设计、测试和辅助分析工作[8]。

在讲解通用中规模时序逻辑电路章节的集成计数器相关内容时, 用同步计数器构建任意进制计数器有多种方法, 电路比较灵活, 既可以利用计数器的清除端, 也可以用预置功能。此时可利用Proteus仿真演示动态过程, 节约大量的教师口头讲述时间, 这样更具感染力和说服力, 学生也更容易理解接受[9]。

例如, 4位二进制同步可逆计数器74193构成模10加法计数器和模12减法计数器, 要求用Proteus软件实现。其仿真结果如图2所示。

图中电路分成上下两个部分, 上半部分电路是模10加法计数器, 下半部分电路是模12减法计数器。两个计数器电路相同之处是均由信号发生器 (发出频率为1Hz, 电压为0-+5V的方波信号) 、同步可逆计数器74193、七段显示译码器7448和七段共阴极数码管构成。不同之处在于加法计数器采用累加计数, 当计数器输出由1001变成1010时, 与门输出为1, 该信号接至清除端MR, 使计数器状态变成0000, 因而其计数范围是0000-1001, 从而构成模10加法计数器。而减法计数器采用累减计数, 初始设置端平时为1, 电路开始工作时置入初态1111, 然后开始减1计数, 当计数器输出由0100变为0011时, 或门输出由1变为0, 该信号送至预置端PL, 使计数器立即置入1111, 因而其计数范围是1111-0100, 从而构成模12减法计数器。

3 结语

通过“下标计算法”能够让学生在进行逻辑函数表达式转换时更加简便快速、少犯错误。通过“趋势分析法”能够让学生在同步时序逻辑电路的设计过程中, 走好关键的第一步, 形成正确的原始状态图。通过Proteus软件仿真, 能够让原本枯燥乏味的数字逻辑电路讲解变得更加形象、生动和直观。在教学过程中需要不断地研究和尝试新的教学方法和教学手段, 以提高数字逻辑课程的教学效果, 为学生学习后续专业课程以及为解决工程实践中所遇到的数字系统问题打下坚实的基础。

参考文献

[1]陶永明.《数字逻辑》课程教学方法研究及探讨[J].现代计算机:专业版, 2010 (5) :98-102.

[2]董汉磊, 吕治国.“数字逻辑设计”课程教学改革研究[J].中国电力教育, 2011 (28) :122-123.

[3]徐健宁.《数字逻辑电路》课程的教改探索[J].职业时空, 2011, 7 (9) :109-110.

[4]施键兰, 赵芮, 黄文秀, 等.《数字逻辑》课程教学改革的探索[J].现代计算机:专业版, 2011 (23) :45-47.

[5]欧阳星明, 于俊清, 等.数字逻辑[M].4版.武汉:华中科技大学出版社, 2009:32-34.

[6]庄立运, 王晓辉.Proteus在数字电子技术课堂教学中的应用探讨[J].科技信息, 2011 (13) :84.

[7]陈坚祯, 阳平, 程鹏, 等.Proteus仿真在计算机嵌入式方向系列课程中的应用[J].湖南科技学院学报, 2012, 33 (8) :63-65.

[8]邵平凡.浅谈Proteus VSM在数字逻辑课程教学中的应用[J].中国校外教育, 2011 (18) :99-100.

上一篇:读论联合政府有感下一篇:土木工程知识