eda课设电子琴设计

2024-09-23 版权声明 我要投稿

eda课设电子琴设计(通用8篇)

eda课设电子琴设计 篇1

摘要

基于FPGA的自动售货机的设计,主要完成的任务是使用VHDl语言,在MAX+PLUSSII上完成电路的设计,程序的编译,基本功能的完善、模拟整个自动售货机的工作过程。电路的设计模块分为几个模块:主控模块、译码模块、顶层模块。各个模块完成不同的任务,合在一起就构成了一个自动售货机。在售货机的设计过程中,使用VHDL语言,根据各个模块的不同功能和它们之间的控制关系进行编写。软件模块直接在MAX+PLUSSII上进行。进入21世纪,随着人们对效率的追求,人工售货已不能不满足人们的需求。对此,自动售货机的设计就用了很大的应用空间和市场。

基于FPGA的自动售货机设计,采用软件开发模块,开发成本底,而且功能设计上有很大的灵活度,需要在软件上进行简单的修该就能实现不同的功能要求,能够满足不同的环境要求。

关键字:自动售货机;FPGA;仿真;VHDL;MAX+PLUSSII

目录

第一章 绪论......................................................................................4 第二章 自动售货机的设计................................................................4 2.1 设计说明.................................................................................4 2.1.1 流程说明.......................................................................4 2.1.2各模块说明....................................................................5 2.1.3各模块的连接.................................................................6 2.2状态之间的转换......................................................................6 第三章 仿真时序图.............................................................................7 3.1主控模块的仿真......................................................................7 谢 辞..............................................................................................10 参考文献............................................................................................11 附录...................................................................................................11

第一章 绪论

随着科技的发展和社会的进步,自动化商业机械有了越来越广泛的的应用,自动售货机作为自动化商业机械的代表被广泛用于公共场所自动售货,给人们的生活带来了极大的方便。特别在发达的国家,自动售货机已经十分普及,自动售货机可售出各种成型包装的小商品,各种袋装,盒装,软瓶装等小商品。因其无需专人值守而可以每天24 小时售货,被称为“无人小超市”。在我国,由于多种原因,自动售货机的市场推广缓慢,随着商品市场的不断繁荣,自动售货机和自动服务设施必将逐步得到广泛的应用。

本文设计研究自动售货机的主要功能模块,主要包括货币处理模块、余额计算模块、显示模块,延时和时控模块。相应的功能有选择货物,多次购买,出货找零。

本文是通过使用VHDL语言,基于KX GW48FPGA芯片,并通过使用MAXPLUSS II软件进行程序设计,模拟仿真,功能验证,以更好的来理解和掌握自动售货机控制系统的工作原理和设计方法,以及学习和掌握基于EDA的电子产品设计方法,进一步加深对VHDL语言以及MAXPLUSS II 软件、FPGA可编程芯片的认识与了解。

FPGA是现场可编程门阵列(Field programmable gates array)的英文简称。是有可编程逻辑模块组成的数字集成电路(IC)。这些逻辑模块之间用可配置的互联资源。设计者可以对这些器件进行编程来完成各种各样的任务

PLD/FPGA是近几年集成电路中发展最快的产品。由于PLD性能的高速发展以及设计人员自身能力的提高,可编程逻辑器件供应商将进一步扩大可编程芯片的领地,将复杂的专用芯片挤向高端和超复杂应用。据IC Insights的数据显示,PLD市场从1999年的29亿美元增长到去年的56亿美元,几乎翻了一番。Matas预计这种高速增长局面以后很难出现,但可编程逻辑器件依然是集成电路中最具活力和前途的产业。

第二章 自动售货机的设计

2.1 设计说明

本文设计的自动售货机可销售cola 和 pepsi两种饮料:售货机可识别1元和0.5元的两种货币,在一次购买过程中,可购买一个或多个商品,系统会自动计算所需钱数和找零的钱数并自动找零。另外有3个发光二极管、6个LCD数码管:两个用来显示所需金额,两个用来显示已付金额,两个用来显示找零数。

2.1.1 流程说明

本文设计的自动售货机当通电时,表示一次销售的开始。顾客选择一种商品或多种商品后就进入投币状态。若不投币,则自动返回初始状态。投币后,系统自动计算所投钱数。若投币够,则出货并找零。若投币不够,如果顾客没有继续投币,则退币并回到初始状态。本系统的投币销售流程图如图1-1所示:

图1-1

2.1.2各模块说明

本文设计的自动售货机总体分三个模块:总控模块,二进制译码模块、BCD码译码模块。

总控模块:总控模块是本系统最重要的模块,该模块大体有5个输入端口和6个输出端口。其输入端口包括clk、coin1(投入一元货币)、coin5(投入0.5元货币)、cola(选择cola)、pepsi(选择pepsi),输出端口有paid(已投入多少钱)、needed(还需多少钱)moneyout(找零)、success(灯亮表示交易成功)、failure(灯亮表示交易失败)、showmoneyout(灯亮表示正在找零)。该模块实现了本系统最重要的交易过程,包括选择商品、投入货币,计算剩余金额,找零出货等。

二进制译码模块:该模块有一个输入端口和两个输出端口。输入端口是一个8位的二进制数输出端口bcd0、bcd1是两个4位的BCD码。该模块的主要的功能是实现将主控模块输出的二进制数(paid、needed、moneyout)转换成BCD码,以便输出到七段数码管上显示出来。该模块的原理是将一个8位的二进制转换成2个4位的BCD码,分为高四位和低四位。

BCD码译码模块:该模块有一个输入端口和一个输出端口

2.1.3各模块的连接

各模块的连接如图1-2和1-3所示:

图1-2 售货机主控系统和译码器模块图

图1-3 售货机顶层模块

2.2状态之间的转换

本文所设计的自动售货机进程可以分为7个状态:a,b,c,d,e,f,g.a为开始状态,当有商品选择键按下时即进入b状态(投币状态)。当有货币投入,但投币不够时,即进入c状态(继续投币),若投币够了,即进入d状态(出货找零),之后进入f状态(延时)后返回a(状态)。

图1-3状态流程图

第三章 仿真时序图

3.1主控模块的仿真

上图表示顾客选择了pepsi饮料后,且投了2个一元的硬币。Success为高电平,代表售货机有饮料售出。且余额为0.7

上图表示顾客选择了pepsi饮料后,且投1个一元的硬币和一个0.5元的硬币。failure为高电平,代表售货机无饮料售出。且余额为已投的金额,将钱退还给顾客。.上图表示自动售货机的译码系统,上图表示将8位数字转换成4位BCD码。

2.2BCD码译码的仿真

上图表示自动售货机的译码系统,上图表示将8位数字转换成4位BCD码。

2.3TOP文件的仿真

上图表示顾客选择了pepsi饮料后,且投了2个一元的硬币。Success为高电平,代表售货机有饮料售出。且余额为0.上图表示顾客选择了pepsi饮料后,且投1个一元的硬币和一个0.5元的硬币。Failure 为高电平,代表售货机无饮料售出。Showout为退币指示灯。.Mout_lcd0和Mout_lcd1,显示退币的金额。Paided _lcd0 和Paided_lcd1 needed _lcd0 和needed_lcd1 分别显示已付金额和还需要的金额数。

第四章 小结

自动售货机系统的设计经过一个星期的设计已经全部完成,能按预期的效果进行多次购买、找零出货、并且在数码管上显示出已投货币的金额、还需金额、找零,同时有指示灯提示交易成功、交易失败、正在找零。在自动售货机系统的3个模块中,最主要的是主控模块,其次的还有二进制译码模块和BCD码译码模块。各模块完成后,将它们组合成完整的自动售货机系统。在设计过程中还需改进的是。本文所用的VHDL语言虽然语法规则与其他计算机高级语言如C语言在很多方面很类似,但它毕竟是硬件描述语言,其本质作用在于描述硬件,因而会受硬件环境的限制。因此,为了达到设计的预期效果,在代码编写前,应做到对硬件电路心中有数,不能一味的追求代码的完美,而应该已实现的硬件电路的性能优劣为标准。

谢 辞

在这里我要感谢我的指导老师

参考文献

(1)潘松,黄继业编著.《EDA技术实用教程 》,2005,科学出版社

(2)徐志军,徐光辉编著.《

CPLD/FPGA的开发与应用 》,电子工业出版社,2001.1(3)http://ponent shouhuoji port(clk:in std_logic;

coin1:in std_logic;

coin5:in std_logic;

cola:in std_logic;

pepsi:in std_logic;

paid:out std_logic_vector(7 downto 0);

needed:out std_logic_vector(7 downto 0);

success:out std_logic;

failure:out std_logic;

showmoneyout:out std_logic;

moneyout:out std_logic_vector(7 downto 0));end component;component code1 port(b:in std_logic_vector(7 downto 0);

bcd0:out std_logic_vector(3 downto 0);

bcd1:out std_logic_vector(3 downto 0));end component;

signal p,n,mo:std_logic_vector(7 downto 0);

--signal s1,s2,s3,s4,s5,s6:std_logic_vector(3 downto 0);begin

u0:shouhuoji--各模块连接

port map(clk=>clk1,coin1=>C1,coin5=>C5,cola=>P1_5,pepsi=>P2, success=>s,failure=>f,showmoneyout=>showout,paid=>p,needed=>n,moneyout=>mo);u1:code1 port map(b=>p,bcd0=>paid_lcd0,bcd1=>paid_lcd1);u2:code1 port map(b=>n,bcd0=>needed_lcd0,bcd1=>needed_lcd1);u3:code1 port map(b=>mo,bcd0=>Mout_lcd0,bcd1=>Mout_lcd1);

eda课设电子琴设计 篇2

1 EDA技术概述

所谓EDA技术, 就是电子设计自动化, 由CAE、CAD、CAM等计算机概念发展出现。EDA技术以计算机为主要工具, 集合了图形学、数据库、拓扑逻辑、优化理论、计算数学、图论等学科, 形成最新的理论体系, 是微电子技术、计算机信息技术、电路理论、信号处理和信号分析的结晶。现代化的EDA技术具备很多特点, 普遍采用了“自顶向下”的程序进行设计, 保证了设计方案的整体优化, EDA技术的自动化程度更高, 在设计过程中能够进行各类级别的调试、纠错和仿真, 设计者能够及时发现结构设计的错误, 避免了设计上的工作浪费, 设计人员也能抛开细枝末节的问题, 将更多精力集中于系统开发, 保证了设计的低成本、高效率、循环快、周期短。EDA技术还能实现并行操作, 建立起并行工程框架的结构环境, 支持更多人同时并行电子工程的技术开发和设计。

2 EDA技术发展

电子工程设计的EDA技术自出现以来, 大致可以分为三个历史时期:

2.1 初级阶段

大约在二十世纪的七十年代, 早期的EDA技术处于CAD阶段, 出现了小规模的集成电路, 由于传统手工在制图设计中的集成电路和集成电路板的花费大、效率低、周期长, 借助于计算机技术的设计印刷, 采取了CAD工具实现布图布线的二维平面编辑和分析, 取代了高重复性的传统工艺。

2.2 发展阶段

到了二十世纪八十年代, EDA技术进入了发展完善的阶段。集成电路的规模逐渐扩大, 电子系统日益复杂化, 人们深入研究软件开发, 将CAD集成为系统, 加强了电路的机构设计和功能设计, 这一时期的EDA技术已经开始延伸到半导体芯片设计的领域。

2.3 成熟阶段

经过了长期的发展, 直至二十世纪九十年代, 微电子技术的发展突飞猛进, 单个芯片的集成就能够达到几百万或是几千万甚至上亿的晶体管, 这种科技现状对EDA技术提出更高的要求, 推动了EDA技术的发展。各类技术公司陆续开发出大规模EDA软件系统, 出现了系统级仿真、高级语言描述和综合技术的EDA技术。

3 EDA技术软件

3.1 EWB软件

所谓EWB是一种基于PC的电子设计软件, 具备了集成化工具、仿真器、原理图输入、分析、设计文件夹、接口等六大特点。

3.2 PROTEL软件

该技术软件广泛应用了Prote199, 主要由电路原理图的设计系统和印刷电路板的设计系统两大部分组成。高层次的设计技术在近年的国际EDA技术领域开发、研究、应用中成为热门课题, 并且迅速发展, 成果显著。该领域主要包括了硬件语言描述、高层次模拟、高层次的综合技术等, 伴随着科技水平的提升, EDA技术也必然会朝向更高层次的自动化设计技术不断发展。

4 EDA在电子工程设计中的应用技术流程

近年来的EDA技术深入到了各个领域, 包括了通信、医药、化工、生物、航空航天等等, 但是在电子工程设计的领域中应用的最为突出, 主要利用了EDA技术为虚拟仪器的测试产品提供了技术支持。EDA技术在电子工程设计的领域中, 主要应用于了电路设计仿真分析、电路特性优化设计等方面。主要的技术流程如下:

4.1 源程序

通常情况下, 电子工程设计首要的步骤就是通过EDA技术领域中的器件软件, 利用了文本或者是图形编辑器的方式来进行展示。不管是图形编辑器或者是文本编辑器的使用, 都需要应用EDA工具进行排错和编译的工作, 文件能够实现格式的转化, 为逻辑综合分析提供了准备工作。只要输入了源程序, 就能够实现仿真器的仿真。

4.2 逻辑综合

在源程序中应用了实现了VHDL的格式转化之后, 就进入了逻辑综合分析的环节。运用综合器就能够将电路设计过程中使用的高级指令转换成层次较低的设计语言, 这就是逻辑综合。通过逻辑综合的过程, 这可以看作是电子设计的目标优化过程, 将文件输入仿真器, 实施仿真操作, 保持功效和结果的一致性。

4.3 时序仿真

在实现了逻辑综合透配之后, 就可以进行时序仿真的环节了, 所谓的时序仿真指的就是将基于布线器和适配器出现的VHDL文件运用适当的手段传达到仿真器中, 开始部分仿真。VHDL仿真器考虑到了器件特性, 所以适配后的时序仿真结果较为精确。

4.4 仿真分析

在确定了电子工程设计方案之后, 利用系统仿真或者是结构模拟的方法进行方案的合理性和可行性研究分析。利用EDA技术实现系统环节的函数传递, 选取相关的数学模型进行仿真分析。这一系统的仿真技术同样可以运用到其他非电子工程专业设计的工作中, 能够应用到方案构思和理论验证等方面。

5 结束语

伴随着科学的发展, 技术的革新, EDA技术的领域也在向高层次的技术推广和开发, 成效十分显著。本篇论文我们对EDA技术的相关信息进行了详细的分析很研究, 研究表明, EDA技术对于我国的电子工程设计改革具有巨大的推动力, 基于EDA技术领域的电子产品在专业化程度和使用性能上都要比传统的设计方案制造的产品更加优化。将EDA技术应用到电子工程设计的领域当中, 对于电子产品的优化和工作效率的提高以及产品附加值的拓展都有很大的作用。

参考文献

[1]白杨.电子工程设计中EDA技术的应用[J].科海故事博览.科技探索, 2012 (6) :242.

[2]于洋.分析EDA技术在电子工程设计中的应用[J].电子制作, 2012 (12) :83.

浅析电子设计中EDA技术的应用 篇3

【关键词】电子设计;EDA技术;技术应用

引言

电子技术在信息化时代得到了高速发展,各类电子产品成为了人们生活中不可或缺的一部分,随着电子产品附带的功能逐渐增多以及性能方面的拓展,人们对电子技术提出了更高的要求。集成电路制造技术和电子设计是推动电子产品发展的主要动力,其中电子设计更是以前沿尖端的EDA技术为核心,在电子技术不断取得突破的今天,CPLD、FPGA可编程逻辑器件也越来越多的应用于电子设计,为电子设计带来了广阔发展空间和适应各项需求的灵活性。

1.EDA技术的特点与应用

(1)FPGA/CPLD的编程方式较易实现无线编程、红外编程、超声编程,或通过电话线远程在线编程,并且具有良好的加密功能。

(2)不存在MCU所特有的复位不可靠和PC跑飞等固有缺陷,还可将整个系统下载于同一芯片中,缩小了体积,易于管理与屏蔽,从而具有高可靠性。

(3)对于复杂多变的通信协议来说,利用VHDL进行FPGA编程高效、灵活,并且能够快速适应标准的升级,实际上FPGA的大容量、高速、高性能的发展趋势正是为了迎合通信领域应用的需要。

(4)器件的功能块可以同时工作,能够实现指令级、比特级、流水线级甚至是任务级的并行执行,加快了运算速度,由FPGA实现的运算系统可以达到现有通用处理器的数百甚至上千倍。将EDA技术应用于电子系统设计,能减小设备体积,降低功耗,提高电路的可靠性,减少上市时间,将设计风险降至最小,是数字系统设计的发展方向。在数字信号处理领域,传统的设计方法有2种:

a.采用DSP处理器,如TMS320系列微处理器;b.采用固定功能的DSP器件或ASIC器件。随着DSP系统复杂程度和功能要求的提高,这些DSP解决方案暴露出缺陷:DSP处理器方案成本低,但软件处理数据不可能有很强的实时性能,限制了在高速和实时系统中的应用;固定功能的DSP器件或ASIC器件可以提供很好的实时性能,但灵活性太差。相对DSP处理器,FPGA可以由设计者根据算法的内在并行结构设计合适的处理阵列,避免前者串行执行指令的低效;相对ASIC,FPGA可避免初期巨大的开发投资,并且拥有如微处理器的通用性和灵活性。加之FPGA内部大都提供了RAM、双口RAM和FIFO-RAM等存储体结构,所以FPGA可以完全取代通用DSP芯片或作为通用DSP芯片的协处理器进行工作。如果将通用DSP和FPGA融合在一起,把需要多个时钟周期的运算交给FPGA完成,DSP芯片主要完成单时钟的运算并控制FPGA的“可再配置计算”功能,可更好地把二者的优势发挥出来。

2.电子设计中EDA技术应用需注意的问题

在电子设计中应用EDA技术需要注意以下几点:

①在电子电路设计的时候,延时时间具有不确定性的特征,以及自动编译的部分电路可能会成为赘余,所以电子设计中采用EDA时,反向器的个数不易为偶数并联连接;②输入引脚要保持接地,不能处于悬空的状态,驱动的时候要保证是有源信号;③各个器件的电源要保持接地状态,需要的时候要对各个连接进行滤波和解耦处理;④设计的过程中,逻辑单元和引脚都要留出多余的部分,便于后期的扩展设计或者是设计修改;⑤需要采取一定的冷却处理,避免各个器件使用的时候过热。

3.EDA技术设计流程解析

3.1 源程序的编辑、编译及行为仿真

一项工程的设计首先需利用EDA工具的文本编辑器或图形编辑器将它用文本方式(VHDL程序方式)或图形方式(流程图方式和状态图方式)表示出来。这两种表达方式必须首先通过EDA工具进行排错编译,变成VHDL文件格式,为进一步的逻辑综合作准备。在逻辑综合以前可以先对VHDL所描述的内容进行行为仿真,即将VHDL设计源程序直接送到VHDL仿真器中仿真。

3.2 目标器件

逻辑透配就是将由综合器产生的网表文件针对某一具体的目标器件进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、布线与操作等,配置于指定的目标器件中,产生最终的下载文件。随后,可进行时序仿真。时序仿真是将布线器/适配器所产生的VHDL网表文件送到VHDL仿真器中所进行的仿真。该仿真已将器件特性考虑进去了,因此可以得到精确的时序仿真结果。如果编译、综合、布线/适配和行为仿真、功能仿真、时序仿真等过程都没有发现问题,即满足原设计的要求,就可以将由CPLD/FPGA布线/适配器产生的配置/下载文件通过编程器或下载电缆载入目标芯片CPLD或FPGA中。

3.3 硬件仿真与测试

在电子设计当中,经常会通过FPGA来完成对电子系统设计的功能检测,检测完成之后通過VHDL进行设计,最后呈现结果。这是硬件的仿真过程。而硬件的测试过程是指针对于CPLD以及FPGA直接应用到设计的过程当中,将文件下载之后,对电子设计过程进行功能检测。在对EDA技术进行的功能及时序仿真阶段,如果在仿真过程中没有发现任何问题,就可以将生成的文件下载到目标芯片当中。在这个过程中,应当注意以下几个重要事项:

①不可以采取反相器串联法来构成“延时电路”。

②在输入引脚时不可以悬空,必须通过有源信号进行驱动,将不使用的引脚进行接地。

③器件电源和接地的地线引脚应当要可靠连接。

④为了方便EDA技术应用的扩展和设计,在对要使用的器件进行选择时,要使得逻辑单元以及引脚要有一定的数量余量。

⑤要注意把握好环境的变化,防止对器件造成过热引起故障。

4.8255A芯片设计中EDA技术的应用分析

4.1 8255A端口及构造体说明

该设计模块中PPI端口一共定义了40个引脚,定义与8255A是相同的。端口的构造体许多都是输入输出的双向引脚,其端口是相互对应的。在芯片端口的构造体内部,都是通过bus-in和bus-out总线来实现。

4.2 构造体进程说明

PPI的构造体包括5个进程,主要是读进程、写进程以及形成pa、pb、pc三态输出进程。其中pa、pb和pc进程比较简单,不需要做详细说明,在这里主要分析读、写两个进程。

(1)读进程工作就是指在片选信号和读信号都有效时,从各个端口对外部设备提供的信息数据进行读入。此外读进程对数据线总线的信息数据进行描述并且通过三态缓冲器进行实现。

(2)写进程工作就是在片选信号和写信号有效时,将总线上的数据信息写入到bus-out总线上,与此同时,将总线上的最高数据位进行寄存器保存,便于以后对使用方式的判别。因为在写进程中,VHDL语言编程方法与读进程中的十分相似,再加上源程序比较长,所以本文没有给出详细的源程序。

5.结语

可以说EDA技术的应用为电子设计行业带来了一次技术上的革新,这就要求电子设计工程师要熟练掌握好EDA技术,在提高效率的同时,开发出更多具有高性能的电子产品。使得EDA技术更好地适应社会发展,增强自身竞争实力,并推动电子系统不断向集成化、大规模化的方向快速发展。

参考文献

[1]卢紫毅,肖梓祥.对可编程ASIC发展新趋势的探讨[J].现代电子技术,2001(3):11-13.

eda课设电子琴设计 篇4

电路系统一般包括输入电路、控制转换电路、输出电路和电源电路等部分。任何复杂的电子电路系统都可以逐步划分成不同层次、相对独立的子系统。通过对子系统的输入输出关系、时序等的分析, 最后可以选用合适的电子电路器件来实现, 将各子系统组合起来, 便完成了整个大系统的设计。电子电路系统设计的一般方法与步骤可分为:

一、消化课题

必须充分了解设计要求, 明确被设计系统的全部功能、要求及技术指标。熟悉被处理信号与被控制转换对象的各种参数与特点。设计的基本流程如下图:

二、确定总体设计方案

根据系统总体功能画出系统的原理框图, 将系统分解。确定连接不同方框间各种信号的相互关系与时序关系。方框图应能简洁、清晰地表示设计方案的原理。

三、绘制单元电路并对单元电路并对单元电路进行仿真

选择合适的电路器件, 用电子仿真软件绘出个单元的电路图。然后利用电子软件中的电路仿真功能对设计的电路进行仿真测试, 从而确定设计的电路是否正确。

若电路中采用了TTL、COMS、运放、分立元件等多种器件时, 如果采用不同的电源供电, 则要注意不同电路之间电平的正确转换, 并应绘制出电平转换电路。

在仿真电路时, 可以选择不同的仿真软件, 目前电子行业有很多不同的仿真软件, 有SPICE、Multisim、Proteus等很多种, 本人从事电子教学设计多年, 总结了一些方法, 基础电路仿真主要使用使用Multisim软件, 控制电路仿真使用Proteus, 通信电路仿真使用MATLAB等。

仿真电路软件给设计者带来了很大的方便, 设计好的电路图通过仿真软件进行实时模拟, 模拟出实际功能, 然后通过其分析改进, 从而实现电路的优化设计。不仅节约了时间, 对硬件缺乏的设计者来说节省了一笔开支。近年来, 各高校都引入了仿真软件教学课程, 使大学生在电路设计时应用EDA工具, 辅助电路设计, 极大的提高了学生的动手能力和创新思维能力, 同时也为高校硬件资源的投资建设节约了开支。

四、分析电路

设计的电路可能不存在任何问题, 但组合起来后系统可能不能正常工作, 因此, 充分分析各单元电路, 特别是对控制信号要从输入输出关系、正负极性、时序等几个方面进行深入的考虑, 确保不存在冲突。在深入分析的基础上通过对原设计电路的不断修改, 从而获得最佳设计方案。

五、完成整体设计

在各单元电路完成的基础上, 再用电子仿真软件对整个电路进行仿真, 验证设计。根据设计要求来设计出一个比较理想的电子电路系统须经常训练, 反复实践才能熟练。由于电子仿真元器件模型的典型化及真实元器件参数的离散性、电路连线或印制板形成的分布参数、电子装配工艺等方面的原因, 工程上, 设计完成的电路必须经过实体安装、调整、测试验证后才能投产, 形成产品。

对以正确分析电路原理图后, 进行硬件电路的制作, 首先就是设计硬件电路原理图, 可以通过几种方法实现, Proteus、Protel、Altium Designer、Mentor等可以实现原理图的绘制及PCB板图的设计, 目前对于高职院校电子技术实验教学, 使用较多的是Protel、Altium Designer软件。设计完成原理图SCH文件后可以进行电路电气性能正确性检查, 仿真软件可以自动检测错误连接方式, 提示设计者改正, 最后完成正确的电路原理图设计。接着实现PCB板设计。尽管现在的EDA工具很强大, 但随着PCB尺寸要求越来越小, 器件密度越来越高, 极其苛刻的布线规则, 这些都使得PCB设计的难度并不小。如何实现PCB高的布通率以及缩短设计时间呢?本人以为从信号完整性设计、工艺及结构设计、热设计三方面进行处理。合理的PCB图设计完成后交付电路板加工厂加工。

以上五个步骤可以实现了一个具有原始设计的电路板, 再通过元件焊接、调试、再反复修改电路设计、再调试, 直到完成一个合理的设计作品。如设计的为产品, 则需要进行相关测试, 完成相关部门的工程验收, 才能成为合格产品。

六、结语

EDA代表了当今电子设计技术的最新发展方向, 利用EDA工具, 电子设计师可以从概念、算法、协议等开始设计电子系统, 大量工作可以通过计算机完成, 并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在汁算机上自动处理完成。设计者采用的设计方法是一种高层次的”自顶向下”的全新设计方法, 这种设汁方法首先从系统设计人手, 在顶层进行功能方框图的划分和结构设计。在方框图一级进行仿真、纠错.并用硬件描述语言对高层次的系统行为进行描述, 在系统一级进行驶证。然后, 用综合优化工具生成具体门电路的网络表, 其对应的物理实现级可以是印刷电路板或专用集成电路 (ASIC) 。设计者的工作仅限于利用软件的方式, 即利用硬件描述语言和EDA软件来完成对系统硬件功能的实现。由于设计的主要仿真和调试过程是在高层次上完成的, 这既有利于早期发现结构设计上的错误, 避免设计工作的浪费, 又减少了逻辑功能仿真的工作量, 提高了设计的一次性成功率。

摘要:在电子电路设计中, 合理使用EDA软件Multisim、Proteus、Altium Designer等, 使电子初学者对电子电路设计变得轻松和有吸引力.它节约了设计投入, 缩短了设计的时间周期;给学生提供了较大的创造和发挥想象力空间, 激发和培养了学生的创新思维能力;使电子爱好者对设计的作品质量和难度系数都得到了提高。

关键词:电路设计,Multisim仿真,Proteus仿真,AltiumDesigner设计,调试

参考文献

[1]王连英.熊增举.Multisim7仿真设计.江西:江西高校出版社.2007

电子EDA技术及发展与应用 篇5

【关键词】EDA;电子系统;CPLD/FPGA;VHDL

1.引言

电子设计自动化(Electronic Design Aut-omation)的缩写即是EDA。EDA技术是把计算机技术应用在电子设计过程的一门技术,从而实现了电子设计的自动化进行,现今EDA技术已经广泛用于电子电路的设计仿真以及集成电路版图设计、印刷电路板的设计和可编程器件的编程等工作中。EDA技术是一门综合的学科,它代表了未来电子设计技术的发展方向,打破了软硬件之间的隔阂。

我们依据计算机辅助技术介入程度的不同,将电子系统设计分为以下三类:

1.1 人工的设计方法

此种设计方法从提出方案到验证方案等等均需要由人工来完成,并且方案的验证必须搭建实际的电路来完成验证。这种人工的设计方法缺陷在于:开销特别大,但是效率却极低,并且周期比较长,还有一点就是现在的产品不是单单靠人工就能够完成的。

1.2 计算机辅助设计CAD

1970年以来,计算机开始被应用于Ic版图设计以及PCB布局布线,后来发展为可对电路功能和结构进行设计,并且在原来的基础上增添了逻辑仿真、自动布局布线等等的功能。可以这么说CAD技术的应用取得了可喜的成果。但我们也不能过于乐观,因为各种各样的软件层出不穷,每一种设计软件只能够解决一部分的问题,这就造成了软件不能完全脱离人去设计,智能化程度不能够满足人们的需求。

1.3 EDA电子设计自动化

1990年以后是EDA时代的到来。伴随着电子计算机的不断发展,计算机系统被广泛的应用于电子产品的设计和电子产品的测试以及电子产品的制造等各环节当中。由于电子产品的性能不断提高以及精密度的增加,产品的更新所需要的时间越来越短。相应的,电子产品的设计和电子产品的测试以及电子产品的制造也必须跟上更新的步伐。同时EDA也是CAD向前发展的必然产物,是电子设计的核心内容。

2.EDA的基本特征

作为现今社会电子设计最前沿的技术,电子设计工程师可以通过EDA从协议、算法等等开始对电子系统进行设计,这样可以使计算机完成大量的工作,并实现了从电路设计以及性能分析至设计出PCB版图整个过程完全在计算机上实现自动化处理。EDA设计工程师采用从系统设计入手,对功能方框图进行划分以及对结构进行设计。设计工程师对系统硬件功能的完成需要依靠EDA软件和硬件描述语言。

另一方面,电子设计的仿真和调试是在高层次上进行完成的,这样做的好处是方便在初期发现一些错误,主要是结构设计上的,这样可以有效地减少设计资源的浪费,同时避免了做大量的逻辑功能仿真工作,使设计能够取得一次性成功。又由于电子产品日趋复杂,集成度又显著提高,现存的中小型规模的集成电路已经不能够满足我们的要求,这就导致了电子电路设计由小规模芯片转向了大规模甚至超大规模芯片,产生了具有高集成度和低功耗等功能的可编程ASIC器件。

3.EDA的应用

随着EDA技术的迅猛发展,EDA技术主要在以下两个方面发挥了巨大作用。首先,在科研方面的应用:

主要是应用仿真工具,比如PSPICE、VHDL等,利用这些工具进行电路的设计以及电路的仿真;还用虚拟仪器对产品进行测试;在仪器中应用CPLD/FPGA器件;从事一些ASIC或者PCB的设计等等,总之EDA技术在科研方面获得了广泛的应用。取得了显著地经济和社会效益。其次,在教学方面的应用:可以这么说几乎设置有电子信息这个专业的院校,无论理工科还是文科类的高校,几乎都设有EDA的课程,设置这门课程的目的在于,让同学们了解EDA的原理,能够学会利用HDL对系统逻辑进行描述,同时掌握用其进行仿真实验的操作方法,达到无论是做毕业设计还是以后参加了工作,都能够进行简单的设计。为此我国每2年举办一次大学生电子设计的竞赛,这也是在考察学生的EDA技术水平,可以这么说,EDA技术已经是电子领域里不可或缺的一门技术。

4.EDA的常用软件

EDA软件很多,大体上分为PCB设计软件、IC设计、电路设计以及仿真工具等,下面简单介绍在我国应用比较多的几个软件。

4.1 电子电路设计以及仿真工具

电子电路设计以及仿真工具有:SPICE、EWB等等。

(1)SPICE工具是由美国加州大学研发出的电路分析软件,由于其广泛的被使用,同时功能足够强大,被认为是国际上对电子电路性能模拟的一个标准,具有文本输入和电路原理图的图形输入两种功能。

(2)EWB工具是加拿大Interactive Image Technologic Ltd公司研发的电子电路仿真工具。这款软件可以提供多种类型的虚拟仪器,可以像操作实际仪器一样对其进行操作。并且软件可以提供的元器件种类繁多,器件比较齐全,它在功能上模仿了SPICE,但是没有SPICE那么多的分析功能。

4.2 PCB设计软件

PCB设计软件包括Protel、Cadence PSD、OrCAD、PowerPCB等等,其中Protel在我国应用最广泛,它是由澳大利亚Protel Technology公司研发的电路板设计软件。许多理工类高校都设有这么课程,而且电路公司几乎没有一个不使用它的,它能够全方位的对电路进行设计,并且Protel具有易于使用、界面友好等优点,电路设计和PCB设计是其最有代表性的功能。

5.EDA的发展前景

21世纪后,大规模的FPGA、CPLD器件的涌出,使得EDA软件持续更新,EDA技术取得了更大的发展。如果想参与世界范围电子市场的竞争,提高竞争能力,那么EDA技术是需要熟练掌握的,只有這样电子企业才能够生存和发展下去。

参考文献

[1]李雪梅,张建辉.电子EDA技术及发展与应用[J].乐山师范学院学报,2004(05).

[2]顾青华.谈电子EDA技术的应用与发展[J].信息与电脑(理论版),2010(08).

[3]王晓丽.可编程逻辑器件CPLD/FPGA的发展[J].科技信息(科学教研),2007(28).

eda课设电子琴设计 篇6

关键词:EDA电子技术实验课程教学

中图分类号:TP2文献标识码:A文章编號:1674-098X(2011)05(c)-0203-01

在学校第一批质量工程项目已完成的情况下,正开展第二批实践课程项目实施工作,《电子技术》是第一批质量工程项目完成后诞生的计算机专业的新课程,《电子技术》是《电路》、《模拟电子技术》和《数字电路》的集合,由于是三门课的集合,实验课时由原来的每门课程8课时(共24课时),调整为现在的总8课时,如何在课时少又要学生真正学到相关的知识增加动手能力,上好实验课尤为重要。在实践中,充分发挥计算机的作用,利用EDA来满足实验课程的需要,弥补实验设备的不足,拓宽学生的视野。

1 EDA的发展

EDA是电子设计自动化的英文缩写(Electronics Desingn Automation)。EDA技术是利用计算机工作平台,从事电子系统和电路设计的一项技术,EDA技术是以计算机科学和微电子技术发展为先导,汇集了计算机应用科学、微电子结构、工艺学和电子系统科学最新成果的先进CAD技术,它是由电子CAD发展起来的,是计算机信息技术,微电子技术、计算机图形学、电路理论、信号分析与信号处理等理论和技术的结晶,随着微电子技术和计算机信息技术的而迅速发展。[1]

目前有代表性的EDA软件,有PSpice、Multisim、EWB等三种流行软件。

2 EWB在实验教学中的应用

电子技术实验分三部分内容:一是电路部分,我们安排实验有(1基尔霍夫定律、 2、叠加定理3.电源等效);二模拟电子部分有(1基本放大电路、2集成运算放大电路、3直流稳压电源);三数字电路部分有(1组合逻辑实验、2时序逻辑实验)。在实验仪器上做之前,先在计算机上用EWB模拟(6学时)。

(1)验证实验-基尔霍夫定律-叠加定理

按实验原理图,用EWB画出电路图,电路如图1所示

基尔霍夫定律验证,通过模拟测量得到:

I1=198.5mA I2=12.41mA

I3=210.9mAI1+I2=I3

通过此电路还可做叠加定理验证,实验步骤略。

(2)数字电路实验

例:举重赛场,三人裁判,只有两人以上通过,最终结果通过,设计一个三人表决器。

根据逻辑问题,列出逻辑表达式如下:

据逻辑表达式设计出逻辑门电路如图2示。

按图2设计的逻辑电路,三个两输入与非门,一个三输入与非门,用7400、7410两个集成电路设计电路实现。

通过以上实验实例,加深了学生对实验的感性认识,同时也得到了锻炼,为用仪器做打好了基础。

3 结语

在电子器件硬件成本高、设计周期长的情况下,掌握“EWB”这个EDA工具软件,特别适合计算机专业的学生,用它来学习电子技术知识,提高对电路的分析能力和创新能力。通过EDA的教学实践,使学生通过“EWB”在仿真实验中得到锻炼。“EWB”做虚拟实验可以跟学生当前所学的理论知识紧密衔接,既有助于夯实基础,又可以帮助学生开拓视野,活跃思维。

“EWB”的虚拟环境非常逼近现实,且在元器件件及仪器仪表的种类上又远胜于实验室里的现实条件,它优良的虚拟现实性可以使学生产生身临其境之感。

学生在掌握“EWB”这样一个“EDA”软件的同时,往往能激发出更大的学习兴趣,奠定进一步学习“EDA”技术的基础,还有利于学生在思想观念上形成学习和应用先进的仿真和设计工具(软件)的意识,可以变被动接受为主动学习。

参考文献

[1] 沈复兴,等.电子技术基础[M].北京:电子工业出版社,2005.

eda课设电子琴设计 篇7

电子工艺实训教学的基础训练包括以手工烙铁焊接技术为主的基本操作技能、以识别电子元器件和装配为主的工艺常识以及初步印制电路板设计能力, 这三项是实训教学的重点和基础, 是学生在后面的研究训练、创新实践以及课程设计、毕业设计中必须具备的实践能力。但是, 实训教学只抓基础训练是不行的, 随着电子技术发展的日新月异, 新观念、新技术、新工艺、新材料、新元器件层出不穷, 特别是第三代组装技术 (SMT) 的迅猛发展, 使得电子制造技术发生了巨大的变化。作为新时代的大学生, 如果不接触高新技术, 甚至对当前技术前沿知之甚少, 将难以面向社会实践, 难以适应社会发展。

太原理工大学在2003年组织学习团队前往清华大学将表面贴装技术 (SMT) 引入电子实习中, 受到了学生的普遍欢迎, 取得了很好的实训教学效果。SMT是新一代电子线路板组装技术, 它将传统的分立式电子元器件压缩成体积很小的无引线或短引线片状器件, 直接贴装在印制板铜箔上, 从而实现了电子产品组装的高密度、高可靠性、小型化、低成本以及生产的自动化。经过近几年的不断完善和发展, 已形成了较规范的教学体系和教学方法, 以及多媒体教室、网络教室、SMT贴片教室、电子实训教室等硬件设施, 使学生较系统地完成课堂讲授、动手训练、观看录像、产品制作等实训教程。

近年来, 随着计算机技术的蓬勃发展与广泛普及, 计算机软件在电子设计领域的应用越来越广, 使电子产品设计人员能够高效地进行产品分析、设计等工作。电子设计自动化EDA (Electronic Design Automation) 技术是在电子CAD技术基础上发展起来的计算机软件系统, 是以计算机为工作平台, 融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果, 将电子产品从电路设计、性能分析到设计出PCB版图的整个过程在计算机上自动处理完成。Protel99SE是印制电路板 (PCB) 的设计首选软件, 它具有强大的EDA综合设计环境, 凭借其强大的功能大大地提高了电子线路的设计效率, 因此可以把EDA软件应用到电子工艺实习中。

电子工艺实训教学内容包括三个阶段:第一阶段是前期预备阶段训练, 首先由教师理论讲解基本工具 (万用表、电烙铁) 的使用;常用元件的识别和测试及其符号;集成元件的几种封装形式;特殊器件的介绍;PCB印制板的制作方法;SMT表面贴装方法;基本参数的测试方法等, 然后由学生进行元器件识别、PCB装焊、导线焊接、元器件成形、镀锡及装焊的训练。第二阶段是实践操作阶段训练, 完成两件实习产品 (FM贴片收音机、AM调幅收音机) 的组装与调试, 理论讲解实习产品的原理与SMT的元件、设备及装焊工艺过程, 了解电子产品的装配方法与工艺, 掌握调试方法与故障分析方法。第三阶段是实践操作提高和扩展阶段训练, 了解EDA电路集成设计系统, 学习Protel99SE软件的使用方法, 用Protel99SE软件设计实习产品的SCH原理图和PCB印制板图, 学习PCB的制作方法, 直观了解PCB的制作工艺和流水线生产过程。对于一些对电子制作特别感兴趣的同学, 可进行提高型实习。首先选定一个实习产品, 了解产品性能, 自己设计电路、筛选元件、焊接、调试, 完成一件具有实际功能和使用价值的电子制作。电子工艺实训教学的实践流程如下图所示:

综上所述, 将EDA技术软件引入电子工艺实训教学中, 开创了电子实习的新局面, 提出了实践教学发展的新设想, 是适应信息时代技术发展的新要求, 也是实训教学改革创新的新成果。近年来, 经过不断的努力和创新, 虽然取得了一点成绩, 但还远远不够, 我们将继续创新探索, 继续向先进院校学习, 与他们进行交流和合作, 脚踏实地、团结奋斗, 创造电子工艺实训教学灿烂的明天。

参考文献

[1]姚宪华、郝俊青主编.电子工艺实习工程实训[M].北京:清华大学出版社, 2010

[2]王天曦等.坚持改革创新, 开创电子实习新局面[J].实验技术与管理, 2003 (4) :90~91

[3]清源计算机工作室编著.Protel99SE原理图与PCB设计[M].北京:机械工业出版社, 2004

eda课设电子琴设计 篇8

EDA (Electronic Design Automation) , 即电子设计自动化, 是指以计算机为工作平台, 以实验开发系统为设计工具, 融合应用电子技术、计算机技术、智能化技术最新成果, 可以进行电路设计、系统仿真及故障诊断的电子设计过程。常用的EDA软件有EWB、PSPICE、PROTEL、MAXPLUSII等。

1 电子技术教学思路的转变

传统的教学方式是以分立元件为基本的知识单元, 注重分析原理, 对每种典型电路总是力求吃透电路中每一个元器件所起的作用及工作原理。这样的方法在晶体管时代固然有其合理性, 然而在集成电路时代, 随着集成技术的发展, 集成规模的扩大, 反而成为掌握大量电子技术新知识的障碍。因此必须引入电子功能模块为基本的知识单元。这是因为:1) 电子模块内部器件的工作原理不再是学习的重点, 避免了学生将大部分精力浪费在繁琐的基础分析中。2) 有利于培养学生的归纳、总结问题的能力。3) 可实现由“硬”向“软”的转变, 使学生的知识结构更加合理, 符合电子技术的发展潮流, 为EDA教学创造了条件。

2 引入EDA辅助教学的缘由

高职教育一直以来秉承着“理论够用, 实践加强”的理念。够用不是简单的压缩, 加强实践必须通过课堂的理论教学来加以引导。因此, 在电子技术课程的教学过程中, 适时的加入虚拟实验室, 将收到事半功倍的效果。

与传统的实验方式相比, 虚拟实验室有如下优点:

2.1 功能齐全。由于软件里面集成了几乎所有世面上通用的元器件, 因此可以实现传统方式无法达到的功能。

2.2 成本低廉。实现了“软件即仪器”、“软件即元器件”, 能从根本上解决因经费不足、管理不善等原因对实验的制约。

2.3 高效率, 好效果。系统简单, 便于自学, 排除了客观的干扰因素, 能够保证实验的成功率, 激发学生的学习兴趣。一般实验室只能做验证实验, 通过虚拟实验室可以实现测试型、设计型、纠正型、创新型等多种实验和实践。

2.4 便携性。EDA软件的使用, 能够实现把实验室搬到课堂, 通过老师的演示, 将抽象的概念直观化、形象化, 加深学生对理论知识的理解。学生可以自行下载、安装软件, 课后反复练习, 根据自己的思路, 设计新电路, 提高学生的学习兴趣, 激发学生自主学习。

3 教学应用实例

按图1搭建共射级放大电路, 选择电路菜单电路图选项中的显示/隐藏按钮, 设置并显示元件的标号与数值。理由EWB软件, 可以进行以下分析。

3.1 静态工作点分析

选择分析菜单中的直流工作点分析选项 (Analysis/DC Operating Point) , 分析结果如图2所示, 分析结果表明晶体管Q1处于放大状态。

3.2 动态分析

用仪器库的函数发生器作为电路提供正弦输入信号Vi (幅度为5毫伏, 频率为10k赫兹) , 用示波器观察到输入、输出波形如图3所示。图中VA为输入电压 (电路中节点4) , VB为输出电压 (电路中节点5) 。由图形可以观察到电路的输入、输出电压信号相位相反。在两个测试指针处 (T1、T2) 分别读得输入、输出的电压峰值, 估算出电压放大倍数大约为100。

3.3 参数扫描分析

在图1所示的共发射极基本放大电路中, 偏置电阻R1的大小直接决定了静态电流IC的大小, 保持输入信号不变, 改变R1的电阻值, 可以观察到输出电压波形是真情况。选择分析菜单中的参数扫描选项 (Analysis/Parameter Sweep Analysis) , 扫描与暂态分析,

由图可知, 当电阻逐渐增大时, 工作点慢慢下降, 导致放大倍数下降, 输出波形幅度大大减小。

由以上仿真实验可知, 应用EWB软件可方便建立各种设计电路, 并能快速准确地对电路性能进行仿真分析, 与传统的电路设计过程相比, 省去了用实践元件安装调试电路的过程, 既省钱又省工, 极大地提高了电路设计效率和质量。

EWB软件在电路及电子线路教学中可以作为师生的好帮手, 不如之处是不能对单片机程序进行仿真, 可以实时引入Proteus, EWB软件可作为学生入门, 为今后学习其他软件做好铺垫。

4 结论

将先进的EDA软件引入电子技术的教学过程当中, 是课程改革和发展的必然。当然我们还要强调学生实际的电路安装、调试技能, 并让他们在大量的电子产品制作过程中养成良好的职业道德和素养, 总结出适合自己的行之有效的学习方法。将传统实验与现代实验有机结合, 发挥各自优势。让学生在提高专业能力的同时, 提高社会能力和方法能力, 为将来的就业打下坚实的基础

摘要:《电子技术》是工科专业一门非常重要的专业基础课程, 该课程不但牵涉的概念较多, 而且要求有较多的实践项目, 在课程体系中担负着承上启下的作业, 是进一步学习后续其他专业课程, 培养工程意识的基础。因此电子技术的实践性环节对于培养学生的实际操作能力和分析问题、解决问题的能力至关重要。但由于学生数量的增加, 实验教学的安排上都出现了一定程度的紧张, 学生在规定的时间内无法真正完成实验, 造成课程质量下滑。如果充分利用计算机技术、多媒体技术和仿真技术就可以很好的解决在实践教学中的这些问题。本文探讨了将EDA技术应用于《电子技术》教学, 与传统的教学模式有机结合, 这有利于提高学生的综合能力, 是教学改革和实践的有效方式。

关键词:EDA,EWB,虚拟实验室,专业能力

参考文献

[1]王皑.EDA技术与高职电子技术教学的探讨[M].湖南工业职业学院学报, 2002, 3.

[2]吕君.基于EDA仿真技术在电子实验教学的应用研究[J].电脑知识与技术.

[3]孔龙.EDA技术与电子技术教学的整合[J].科技教育与创新, 2008年第14期.

上一篇:建筑设计论文下一篇:《政治生活》主观题解题方法指导