八路抢答器的课程设计

2024-06-03 版权声明 我要投稿

八路抢答器的课程设计(精选5篇)

八路抢答器的课程设计 篇1

数字电路课程设计(论文)

题 目

姓名

学号

专业班级 11通信工程2班 指导教师

学 院 信息科学与工程学院

完成日期 2013年6月6日

I

Proteus仿真下载:http://pan.baidu.com/share/link?shareid=3269742360&uk=1662325156

摘 要

八路抢答器由抢答启动电路、启动报警电路、选手编号译码显示电路、复位电路组成。

抢答器主要器件有74LS148、74LS74、555定时器。

抢答器的输入为八路抢答按钮及主持人控制的抢答“开始”和“复位”二个按钮。抢答器的输出有一个发光二极管、一个数码显示管和一个蜂鸣器。

八路抢答器电路是基于七路抢答电路的一个改装。相比七路抢答电路可以供更多一人抢答,虽然其中有个小问题存在,但不影响抢答器的使用。

基于proteus,经过元器件的选取,电路的连接,参数的设置,最终仿真等工作,最终实现了蜂鸣提示数码显示八路抢答功能。关键词:竞赛抢答器;74LS148;74LS74;555定时器

第一章

电路器件介绍

(1)74LS148编码器

74LS148 为 8 线-3 线优先编码器,共有 54/74148 和 54/74LS148 两种线路结构型式,将 8 条数据线(0-7)进行 3 线(4-2-1)二进制(八进制)优先编码,即对最高位数据线进行译码。利用选通端(EI)和输出选通端(EO)可进行八进制扩展。

0-7

编码输入端(低电平有效)EI

选通输入端(低电平有效)A0、A1、A2

三位二进制编码输出信号即编码输出端(低电平有效)GS

片优先编码输出端即宽展端(低电平有效)EO

选通输出端,即使能输出端

II

(2)74LS74集成D触发器

74LS74是双D触发器(内部集成二个D触发器),上升沿触发,互补输出

III

(3)555定时器

555定时器内部包括两个电压比较器,三个等值串联电阻,一个 RS 触发器,一个放电管 T 及功率输出级。它提供两个基准电压VCC /3 和 2VCC /3 1脚:外接电源负端VSS或接地,一般情况下接地。2脚:低触发端 3脚:输出端Vo 4脚:是直接清零端。当此端接低电平,则时基电路不工作,此时不论TR、TH处于何电平,时基电路输出为“0”,该端不用时应接高电平。

5脚:VC为控制电压端。若此端外接电压,则可改变内部两个比较器的基准电压,当该端不用时,应将该端串入一只0.01μF电容接地,以防引入干扰。

6脚:TH高触发端。

7脚:放电端。该端与放电管集电极相连,用做定时器时电容的放电。

8脚:外接电源VCC,双极型时基电路VCC的范围是4.5 ~ 16V,CMOS型时基电路VCC的范围为3 ~ 18V。一般用5V。

IV 第二章 竞赛抢答电路分析

1、仿真运行初始状态

刚运行时,74LS148的各个输入0~7应为高电平,E1=0,A1A2A0=111.GS=1,EO=0

2、复位按钮作用后

产生一个短暂的低电平,应使电路状态产生如下变化:74LS148的E1=1,即先封锁各路抢答信号,其他状态不变。

3、开始按钮作用后

产生一个短暂的低电平,应使电路状态产生如下变化:74LS148的E1=0允许各路抢答,同是,喇叭发出嘀的声响。

4、比七路多一路的抢答改装

(1)74LS47有个测灯输入端LT,当此信号输入低电平时,显示8。把U6:C输出连接到74LS47的LT端,复位后由于U6:C的一路输出为0,另一路来自U5:A,使其在第8路抢答时为0,即把第8路抢答时低电平输入接至U5:A的异步置位端。

(2)第八路强大成功后对1~7路的封锁。U6:C输出的低电平一方面直接给74LS47的LT,使其显示8,另一方面经反相器U9后变高送给或门U6:D,使其为高,接入E1,封锁74LS148的输入。

V

5、蜂鸣电路

555接单稳态电路,触发端TR接开始按钮,当开始按钮按下时产生一个短暂的低电平,触发单稳态电路,输出3端产生一个固定高度的高电平,此信号给蜂鸣器,使之产生一个嘀的声响。改变555电路的R2和C1参数,可以改变声音的长短。

VI

6、总电路图

第3章 总结与展望

本课题设计是“八人抢答器”。主要是通过本次课程设计掌握八人抢答器电路的设计流程和设计原理图。并学会用proteus做出八人抢答器电路的仿真与分析。且通过这次课程设计学会用不同的芯片设计简单的抢答器电路。

VII 此次课程设计,从最初的只准备做个简单的四路抢答器,到后来的较难的带有显示的七路抢答器,最终经过连夜的改装变成具有自己小创意的实用的八路电子抢答器。期间经过重重困但,但在同学的帮助下网络资源的辅助下也都一一解决了。

最终此次课程设计完成了,但是依然有小的瑕疵。比如在未按压抢答开始按钮时,第8路的抢答按钮依旧可以点亮LED。

Proteus:http://pan.baidu.com/share/link?shareid=3144568258&uk=1662325156

八路抢答器的课程设计 篇2

在学校里经常会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有很多类型的竞赛抢答器,但其中绝大多数是早期设计的,采用模拟电路、数字电路或者模数混合电路的产品。这些抢答器已相当成熟,但是随着功能增多,电路也越复杂,并且成本偏高,故障率高,显示方式简单或者没有,无法准确判断抢按按钮的行为,也不便于参数调节及功能的升级换代。近年来随着科技的飞速发展,单片机、PLC的应用正在不断地走向深入,同时带动传统的控制检测技术的不断更新。可编程控制器是以微机技术为基础发展起来的新一代控制装置,是实现自动化控制的理想工具之一,它有着传统继电接触控制无法比拟的优点,因而在控制领域中得到了广泛应用,若采用可编程控制器制作抢答器,则比用逻辑电路和继电器制作的抢答器具有更多的优点,其结构简单,抗干扰能力强,运行稳定可靠,定时准确,可方便地设置定时时间,编程容易,功能扩展方便,修改灵活。本设计就是利用PLC作为核心部件进行逻辑控制及信号的产生,PLC的性能优势必会使得竞赛真正达到公正、公平、公开。

(二)系统设计要求及工作原理

1. 设计要求

(1)抢答器同时为8组选手分别提供按钮,按钮分别为PB0、PB1、PB2、PB3、PB4、PB5、PB6、PB7;

(2)设置一个复位按钮PB10,实现系统电路的复位,由主持人控制;

(3)当主持人打开启动开关SW1后,在设定时间T0内,如果某组抢先按下抢答按钮,则驱动音效电路 (1) 发出声响,指示灯L1亮,并且在8段数码管显示器(如图1所示)上显示出抢答成功的组号,此时电路实现互锁,其它组再按下抢答按钮为无效;

(4)如果在时间T0内,无人应答,则驱动音效电路 (2) 发出声响,指示灯L2亮,表示抢答者均放弃该题;

(5)在抢答成功后,主持人打开限时开关SW2,启动定时器,在设定的时间T1内回答有效,当到达设定时间T1时,驱动音效电路 (3) ,指示灯L3亮,表示答题时间到。

2. 系统结构

系统结构框图如图2所示。

3. 主控制器

本系统的主控制器采用日本三菱公司生产的FX2N-32MR型PLC。该型PLC功能较强大,提供16个输入点和16个输出点,继电器输出方式,均采用8进制编号。PLC编程可采用手持式编程器或编程软件GX-Developer7.08中文版。三菱PLC的编程指令简单易懂且程序设计灵活,可采用梯形图或者指令语言进行软件设计。

4. 输入输出端子分配方案

三菱FX2N-32MR型PLC采用整体式结构,其控制单元提供16个输入点,16个输出点。本系统需要11个输入点、13个输出点,具体分配如表1所示。

(三)软件设计

1.程序指令

程序指令如表2所示。

2. 工作过程分析

(1)开始抢答前,主持人首先通过复位按钮PB10 (X010)实现电路复位,在提问之后,打开选择开关SW1 (X011) 启动定时器T0(本程序预设为10s),通过修改下图所示的K值可以预设不同时间。

(2)抢答限时内,当某一组抢先按下抢答按钮后,对应的辅助继电器接通,同时切断其它抢答回路,实现互锁。如PB0抢先按下,则X000导通,辅助继电器M0接通,如下图3:

辅助继电器M0接通,则其常闭触头M0断开,同时切断M1-M7抢答回路,PB1-PB7再按下将不会起作用,如下图:

(3)抢答成功后,辅助继电器M8接通,常开触头闭合,启动音效电路 (1) (Y010)和指示灯L1 (Y011),同时利用内部编码程序段控制输出点Y000-Y006,输出驱动信号,驱动外部数码显示器显示抢答成功的组号。

数码管编码表如下

(4)如果在限时内无人抢答,则启动音效电路 (2) (Y012)和指示灯L2 (Y013) ,外部数码显示器无显示。

(5)抢答成功后,主持人打开限时开关SW2 (X012),启动定时器T1(本程序设为60s),修改K值可以设定不同时间,当T1计时结束,将启动音效电路 (3) (Y014)和指示灯L3 (Y015)提示回答时间到。

3. 硬件连接图

本系统外部硬件连接方式如图9所示。

(五)讨论

本抢答器系统可以通过修改程序方便地调整设置时间,在线监视系统的工作状态。显示部分采用控制器内部编码方式输出控制信号驱动外部数码显示器件,也可以外部连接编、译码器件实现,并且可以采用适当的输出信号驱动其它类型的显示器件。如果对外部电路稍加修改,或者在系统程序中加入分支,可以把八路抢答器改为更多路的抢答器。如果去除系统中的互锁和限时功能,可以把抢答器改为呼叫器,能够用在医院病房、宾馆客房、写字楼办公室、工厂生产车间

参考文献

[1]史国生.电气控制与可编程控制器技术[M].北京:北京化工工业出版社, 2004.

[2]王兆义.小型可编程控制器实用技术[M].北京:机械工业出版社, 2002.

八路抢答器的课程设计 篇3

关键词:电子电路 组装 设计 焊接 调试 创新

一、前言

中等职业学校电子技能竞赛的主要目的是提高学生对常用元件器的识别与检测能力、电子产品的装配与焊接能力、基本电路的分析及应用能力,同时增强他们对电子产品调试与维修能力、常用检测仪器仪表的使用与操作能力,进而激发学生的学习兴趣等。另外还为优秀的学生提供展现自我的平台,并促进职业院校实践教学的进步及发展。

二、课题

制作一个以CD4511和NE555为核心电路,带有数码显示和声音提示的八路数显抢答器。电路原理图如下:

元器件清单:

CD4511*1NE555*1LED七段数码管*1按钮开关*91N4148*189013*1无源蜂鸣器*16v直流稳压电源电容电阻若干

三、电路分析

本课题是一个简单实用的八路数显抢答器,主要包括抢答、编码、优先锁存、数显、复位及音频振荡等电路。由原理图可见,元器件主要包括CD4511、NE555、IN4148、三极管(9014)、LED共阴极数码管、扬声器、小型按钮开关及电阻电容等。

抢答数显电路:J1~J8八个按钮开关组成抢答键。D1~D12十二个二极管组成编码器,将抢答键按对应的BCD码进行编码,并将所得的高电平加在CD4511所对应的输入端。CD4511是一块含BCD—七段锁存/译码/驱动电路于一体的集成电路。CD4511的1、2、6、7脚为BCD码输入端,9~15脚为显示输出端。3脚为测试端(LT),当LT为“0”时,输出全为“1”。4脚为消隐端(BI),当BI为“0”时,输出全为“0”,因此此时可以清除锁存器内的数值,即可使用为复位端。5脚为锁存允许端(LE),当LE端由“0”→“1”时,a、b、c、d、e、f、g七个输出端保持在LE为“0”时所加BCD码对应的数码显示状态。16、8脚分别接电源正负极。由CD4511的引脚图可知,6、2、1、7脚分别代表BCD码的8、4、2、1位。按下对应的键,即可得到0001、0010、0011、0100、0101、0110、0111、1000八个一系列的BCD码。高电平加在CD4511对应的输入端上,便可以由其内部电路译码为十进制数在数码管上显示出来。

优先锁存电路由两个二极管(D13、D14)、一个三极管(VT)、两个电阻及CD4511的锁存允许端(LE)完成。在初始状态或复位后的状态时,CD4511输入端都与一个电阻(10K)串联接地,所以此时BCD码输入端为“0000”,则CD4511输出端a、b、c、d、e、f均为高电平,g为低电平,且数码显示为“0”。而当d为高电平,三极管(VT)导通及g为低电平时, D13、D14的正极均为低电平,使CD4511的LE端为低电平“0”,可见,此时没有锁存即允许BCD码输入。而当任一抢答键按下时,由数码显示可知,CD4511输出端d输出为低电平或输出端g输出为高电平,两个状态必有一个存在或着都存在。迫使CD4511的LE端,由“0”→“1”,即将首先输入的BCD码显示的数字锁存并保持。此刻,其它按键编码就无法输入,从而达到了抢答的目的。

音频振荡电路为NE555组成的多谐振荡器推动扬声器发出讯响声。四只二极管(IN4148)组成二极管或门电路分别接CD4511的1、2、6、7引脚,为NE555提供电源+Ucc,即任何抢答键按下时,扬声器都能发出报警声。

四、电路组装思路及设计方法

制作电路的一般思路,主要是把握两个方向。一个是上下方向:即上为“+”,下为“-”,即紧紧抓住电源两条主干线;一个是从左至右的电流输入输出方向:即根据我们看电路及画电路习惯方向,从左至右,从输入到输出逐个完成局部电路及器件的组装焊接。紧抓两个方向从而保证无遗漏且无正负极接反现象。

制作电路的布局思想。先确定好核心器件,比如说集成电路等大器件,然后再考虑其它元器件;由于大多数人都喜欢看标准的、对称的图形,总体布局最好能形成标准的几何图形,如长方形、正方形等等。灵巧的掌握电路板的走线技巧,利用实验万能板的连接孔,做到尽量少走飞线。

提高用等效电路的能力,完善电路的设计美观性。主要是指能看懂电路图,能迅速找出电路中各结点的等电势点,而在制作中便能随意移动器件的位置,将封装形式类似的器件分门别类放在同等的位子上,同时进行电路模块化,提高电路的整体美观性。如:为了是电路更具人性化,我借鉴游戏机的左右手操作,将抢答键和复位键左右分开,使电路操作更加简便、美观。

根据电路装配图,按照元器件装焊的一般顺序。电阻器、电容器、二极管、三极管、集成电路等依次焊接。总之,先卧后立,先小后大,封装形式类似的器件兼顾对称性,将器件统一整齐摆放。只要元器件良好,装配无误,焊接无假焊虚焊,即可成功完成该电路制作。

五、焊接技术

焊接前,先对烙铁头进行上锡,对难焊的焊点、焊件也进行上锡,以便提高焊接的质量和速度。焊点必须做到无假焊虚焊、焊锡适当、牢固可靠,确保有良好的导电性能;表面无裂纹、无针孔夹渣、圆润光滑,形成以引脚为中心,大小均匀的锥型。焊接时间要尽可能短,一般为3秒左右,避免烧坏器件。焊接是电子制作的基本功,直接关系到电路制作的成功与失败。但是只要掌握正确有效的方法,平时多做多练,定能取得良好的焊接技术。

焊接的一般步骤:预热→送锡→撤锡→移开烙铁。

①预热:将烙铁头与电路板成45度左右,对元器件和焊盘同时加热,预热2~3秒种。

②送锡:当加热到一定温度时,将焊锡丝从烙铁头的另一侧送入并接触烙铁头,使其熔化。

③撤锡:当有一点焊锡熔化而(重力)滚到焊盘上时,立即停止送锡,控制送锡量避免焊锡过量。

④移开烙铁:烙铁持续加热,使滚到电路板上的焊锡再次熔化,焊锡围着引脚流动,沾满整个焊盘后,将烙铁头沿着器件引脚向上轻轻地迅速带起。对于个别比较难修补好的焊点,可用吸锡枪吸干净后再重新焊接;若锡量不够,可再次加锡补焊。

焊接走线特点:(以培训使用的单孔实验板为例)

①走线必须平行整齐,且没有碰焊,使焊接面形成几何形状。

②短距离走线可直接用焊锡连接;长距离的走线则可先铺细导线,然后加焊锡,使焊接面呈银白色,平直整齐,增加美观度。

③飞线要短、少,并且要整齐美观。短,就是减小跨接距离,避免飞线过长。少,则是设计电路时走线要做到可持续延伸,不会因为线路受阻而增加飞线;有时可以利用走暗线来减少面板上的飞线数量。还可以利用器件引脚之间的距离进行跨接减少飞线。比如说:焊接电阻、二极管的时候就可以利用两引脚的距离跳过线路进行跨接;象抢答键的小按钮开关,4个脚就有两组开关和两根现成的飞线,也可以加以利用。

④电源走线必须红色线接“+”,黑色线接“-”。在导线的输出端,用细铁丝(或电阻剪下来的引脚)将其捆绑并固定在电路板上。

六、检修及调试

检查故障及排除故障的常用方法:a、逐级排除检修法b、重点排除检修法c、对比检修法d、直观检修排除法e、短路检修法等。

在这次培训过程中,我们采取了制造故障对比反推法。将成功的作品进行短、断路等方法制造不同故障现象,然后观察、分析、总结故障的现象,从而反向推断产生故障的原因。让大家看到故障现象就能迅速想到故障点、故障原因,快速检修,从而提高制作速度。

为了使电路的工作正常及声音清脆,电源电压调至9V左右即可。音频振荡电路NE555复位端若由四只二极管(IN4148)组成二极管或门电路提供高电平,而NE555的所需电源直接由Vcc提供,这样报警声会更好。若采用的是有源蜂鸣器,因为该蜂鸣器可以直接驱动,即报警电路可以直接接有源蜂鸣器发声,就可以除去NE555振荡电路,使电路更加简单。

七、创新技术

多路抢答器的制作

①九路抢答器,由于十进制数“9”即是“1001”,故只需增加两只二极管和一个抢答键便可实现。

②两位或多位十进制数的抢答器呢?

既然一个CD4511能实现“0”~“9”路,那么并排一个CD4511便可以实现两位十进制数的抢答显示了。而难点就在两个数位要同时锁存,我们想到2输入或非门电路(74LS02),也就是说只要数显的两位数其中一个CD4511出现输出端d输出为低电平或输出端g输出为高电平,便即刻锁存,完成锁存优先功能,即可实现“0”~“99”路的抢答器。同理,多位十进制数的抢答器也就不难了。

八、附录

在八路抢答器制作比赛中,我院的学生荣获得了个人一等奖一个、二等奖二个及团体一等奖(全省第三名)的佳绩。这里仅仅是我们的制作经验及方法,当然还有很多地方不足,希望各位老师多多指点批评。

参考文献:

[1]江西省中等职业学校第五届技能竞赛节电子应用技术技能大赛竞赛指南

[2]王港元主编《电工电子实践指导》江西科学技术出版社

[3]韩广兴主编《电子元器件识别检测与焊接》电子工业出版社

数字电路课程设计--数字抢答器 篇4

一、本次课程设计目的

1.结合所学的数字电路的理论知识来完成数字电路课程设计。

2.在数字电路的课程设计中,熟悉数字电路的逻辑设计过程以及集成电路的使用。

3.学会利用一些没学过的IC来设计电路。4。学会用软件方法仿真电路。

二、本次课程设计安排

1、时间安排

略。

2、地点安排

S2403实验室。

智力竞赛抢答器 设计目的

(1)熟悉集成电路的引脚安排及使用方法。(2)掌握各芯片的逻辑功能及使用方法。(3)了解面包板结构及其接线方法。(4)了解数字抢答器的组成及工作原理。(5)熟悉数字抢答器的设计与制作。(6)学会用软件方法仿真电路。设计思路

(1)设计抢答器电路。

(2)设计可预置时间的定时电路。(3)设计报警电路。(选做)(4)设计时序控制电路。(选做)设计过程

3.1方案论证 数字抢答器总体方框图如图1所示。button,sw-spdt

图 1 数字抢答器框图

其工作原理为:接通电源后,主持人将开关拨到“清除”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置于“开始”状态,宣布“开始”抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作“清除”和“开始”状态开关。3.2电路设计

抢答器电路如图2所示。

图2 数字抢答器电路

该电路完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。工作过程:开关S置于“清除”端时,RS触发器的R端均为0,4个触发器输出置0,使74LS148的ST=0,使之处于工作状态。当开关S置于“开始”时,抢答器处于等待工作状态,当有选手将键按下时(如按下S5),74LS148的输出Y2Y1Y0010,YEX0,经RS锁存后,1Q=1,BI=1,74LS48处于工作状态,4Q3Q2Q=101,经译码显示为“5”。此外,1Q=1,使74LS148ST=1,处于禁止状态,封锁其他按键的输入。当按键松开即按下时,74LS148的YEX1,此时由于仍为1Q=1,使ST=1,所以74LS148仍处于禁止状态,确保不会出二次按键时输入信号,保证了抢答者的优先性。如有再次抢答需由主持人将S开关重新置于“清除”然后再进行下一轮抢答。

定时电路如图3所示。由节目主持人根据抢答题的难易程度,设定一次抢答的时间,通过预置时间电路对计数器进行预置,计数器的时钟脉冲由秒脉冲电路提供。可预置时间的电路选用十进制同步加减计数器74LS192进行设计。

图 可预置时间的定时电路

报警电路如图4所示。由555定时器和三极管构成的报警电路如图4所示。其中555构成多谐振荡器,振荡频率fo=1.43/[(RI+2R2)C],其输出信号经三极管推动扬声器。PR为控制信号,当PR为高电平时,多谐振荡器工作,反之,电路停振。

图报警电路

时序控制电路如图5所示。时序控制电路是抢答器设计的关键,它要完成以下三项功能:① 主持人将控制开关拨到“开始”位置时,扬声器发声,抢答电路和定时电路进人正常抢答工作状态。

② 当参赛选手按动抢答键时,扬声器发声,抢答电路和定时电路停止工作。③ 当设定的抢答时间到,无人抢答时,扬声器发声,同时抢答电路和定时电路停止工作。图中,门G1 的作用是控制时钟信号CP的放行与禁止,门G2的作用是控制74LS148的输人使能端ST。

图5的工作原理是:主持人控制开关从“清除”位置拨到“开始”位置时,来自图 2中的74LS279的输出 1Q=0,经G3反相,A=1,则时钟信号CP能够加到74LS192的CPD时钟输入端,定时电路进行递减计时。同时,在定时时间未到时,则“定时到信号”为 1,门G2的输出ST=0,使 74LS148处于正常工作状态,从而实现功能①的要求。当选手在定时时间内按动抢答键时,1Q=1,经 G3反相,A=0,封锁 CP信号,定时器处于保持工作状态;同时,门G2的输出ST=1,74LS148处于禁止工作状态,从而实现功能②的要求。当定时时间到时,则“定时到信号”为0,ST=1,74LS148处于禁止工作状态,禁止选手进行抢答。同时,门G1处于关门状态,封锁 CP信号,使定时电路保持00状态不变,从而实现功能③的要求。集成单稳触发器74LS121用于控制报警电路及发声的时间。

图时序控制电路

4系统调试与结果

(1)组装调试抢答器电路。

(2)可预置时间的定时电路,并进行组装和调试。当输人1Hz的时钟脉冲信号时,要求电路能进行减计时,当减计时到零时,能输出低电平有效的定时时间到信号。

(3)调试报警电路。

(4)定时抢答器的联调,注意各部分电路之间的时序配合关系。然后检查电路各部分的功能,使其满足设计要求。

5主要仪器与设备

集成电路: 74LS148—1片,74LS279—1片,74LS48—3片,74LS192—2片,NE555—2片,74LS00—1片,74LS121—1片。

电 阻: 510Ω—2只,1KΩ—9只,4.7kΩ—l只,5.1kΩ—l只,100kΩ—l只,10kΩ—1只,15kΩ—1只,68kΩ—l只。

电 容: 0.1uF—1只,10 uF—2只,100 uF—1只。三极管: 3DG12—1只。(3DG12为普通高频小功率NPN型硅(材料)三极管,特征频率100MHZ,集电极最大直流耗散功率0.7W,0.3A/20V。)

其 它: 发光二极管—2只,共阴极显示器—3只。

6设计体会与建议

6.1设计体会

通过这次对数字抢答器的设计与制作,让我了解了设计电路的程序,也让我了解了关于抢答器的基本原理与设计理念,要设计一个电路总要先用仿真仿真成功之后才实际接线的。但是最后的成品却不一定与仿真时完全一样,因为,再实际接线中有着各种各样的条件制约着。而且,在仿真中无法成功的电路接法,在实际中因为芯片本身的特性而能够成功。所以,在设计时应考虑两者的差异,从中找出最适合的设计方法。此外,本实验也可通过EDA软件MAX PLUSⅡ实现。通过这次学习,让我对各种电路都有了大概的了解,所以说,坐而言不如立而行,对于这些电路还是应该自己动手实际操作才会有深刻理解。6.2对设计的建议

我希望老师在我们动手制作之前应先告诉我们一些关于所做电路的资料、原理,以及如何检测电路的方法,还有关于检测芯片的方法。这样会有助于我们进一步的进入状态,完成设计。参考文献

[1] 康华光.电子技术基础[M].北京:高等教育出版社,1999年

[2] 彭华林等编.数字电子技术[M].长沙:湖南大学出版社,2004年 [3] 金唯香等编.电子测试技术[M].长沙:湖南大学出版社,2004年 [4] 侯建军.数字电路实验一体化教程[M].北京:清华大学出版社,北京交通大学出版社,2005年

[5] 阎石.数字电子技术基础[M].北京:高等教育出版社,2001年

八路抢答器的课程设计 篇5

大学四年的学习生活中,会遇到各种各样的竞赛,抢答器便成为了主要的工具之一。而现在的抢答器智能化越来越强,这必然会提高抢答器的成本。本抢答器与其他抢答器电路相比较成本低、制作方便,并且还有作弊显示功能。因此,这款四路抢答器摒弃了成本高、体积大、操作复杂等不足。我们采用了数字显示器直接指示,因而本抢答器具有显示直观,操作简单的特点。

二、主要功能及技术指标

抢答器的工作原理是用矩阵式键盘进行抢答。采用动态显示组号。主持人按下开始抢答键才可以抢答。主持人没有按下开始抢答按纽(P3.2),有人抢答则抢答违规,报警并显示组号,主持人按下开始抢答开关重新抢答。主持人按下开始抢答按纽(P3.2),蜂鸣响声提示,且数码管10秒倒计时(10秒内抢答有效),有人在10秒抢答,蜂鸣器响声提示并显示他的组号,3秒开始20秒倒计时(20秒内必须回答完问题)。20秒后主持人按下复位开关为下一题的抢答做准备。单片机最小系统、抢答按键模块(四位并行数码显示、1*4矩阵式键盘)、显示模块、抢答开关模块、蜂鸣器输出模块。

三、系统组成及原理

1、分图

四、软件

1、分配流程图

初始化开始

20秒回答问题时间到并响声提示调用读键子程序作为延时程序设定定时器初值并启动定时器中断条件是否满足Y开中断并响声提示NN读键盘是否有键按下Y调用显示抢答违规并报警子程序报警提示编号10秒抢答倒计时开始显示显示违规者编号是否有按键按下NY调用抢答者获得回答的子程序10到时中断并返回响声提示设定定时器初值并启动显示抢答者并且3秒后倒计时RET3

2、源程序

ORG 0000H LJMP MAIN ORG 0013H LJMP ESS1 ORG 0100H MAIN:SETB EA SETB EX1 SETB IT1;外部中断1初始化 L16:MOV P1,#0FFH MOV R2,#00H CLR P1.0 INC R2 JB P1.4,L0 LCALL DE0 L0:INC R2 JB P1.5,L1 LCALL DE0 L1:INC R2 JB P1.6,L2 LCALL DE0 L2:INC R2 JB P1.7,L3 LCALL DE0 L3:SETB P1.0 CLR P1.1 INC R2 JB P1.4,L4 LCALL DE0 L4:INC R2 JB P1.5,L5 LCALL DE0 L5:INC R2 JB P1.6,L6 LCALL DE0 L6:INC R2 JB P1.7,L7 LCALL DE0 L7:SETB P1.1 CLR P1.2 INC R2 JB P1.4,L8 LCALL DE0 L8:INC R2

JB P1.5,L9 LCALL DE0 L9:INC R2 JB P1.6,L10 LCALL DE0 L10:INC R2 JB P1.7,L11 LCALL DE0 L11:SETB P1.2 LJMP L16;读行列式键盘 ESS1:MOV 70H,#30D;外部中断1 MOV R7,#0CH CLR P3.0 S2:LCALL DELAY DJNZ 70H,S2 SETB P3.0;蜂鸣器提示开始抢答 MOV TMOD,#00010000B MOV R3,#0AH L20:MOV 55H,#14H L19:MOV TH0,#3CH MOV TL0,#0B0H;定时器1初始化 SETB TR1;启动定时器1 MOV A,R3 MOV B,#0AH DIV AB MOV DPTR,#TAB MOVC A,@A+DPTR MOV 53H,A CLR P2.4 MOV P0,53H LCALL DELAY1 SETB P2.4 MOV A,B MOVC A,@A+DPTR MOV 54H,A CLR P2.5 MOV P0,54H LCALL DELAY1 SETB P2.5 L18:JNB TF1,L18 CLR TF1 DJNZ 55H,L19 DEC R3 CJNE R7,#00H,D6

LJMP D5 D6:CJNE R3,#0FFH,L21 LJMP L22 L21:LJMP L20;抢答倒计时 L22:MOV 73H,#02D S5:MOV 70H,#20D MOV 71H,#20H CLR P3.0 S4:LCALL DELAY DJNZ 70H,S4 SETB P3.0 S6:LCALL DELAY DJNZ 71H,S6 DJNZ 73H,S5;抢答倒计时时间到声音提示 D5:RETI DE0:MOV DPTR,#TAB;抢答违规报警并显示抢答违规组号 MOV A,R2 MOV B,#0AH S10:MOV 72H,#20D MOV 73H,#10D CLR P3.0 S8:LCALL LCC DJNZ 72H,S8 SETB P3.0 S9:LCALL LCC DJNZ 73H,S9 DJNZ 71H,S10 L17:LCALL LCC LJMP L17 LCC:CLR P2.4 MOV P0,50H LCALL DELAY DELAY1:MOV P1,#0FFH;正常抢答读键 MOV R4,#250D W17:MOV R2,#00H CLR P1.0 INC R2 JB P1.4,W0 LCALL DE1 W0:INC R2 JB P1.5,W1 LCALL DE1 W1:INC R2 JB P1.6,W2 LCALL DE1 W2:INC R2 JB P1.7,W3 LCALL DE1 W3:SETB P1.0 CLR P1.1 INC R2 JB P1.4,W4 LCALL DE1 W4:INC R2 JB P1.5,W5 LCALL DE1 W5:INC R2 JB P1.6,W6 LCALL DE1 W6:INC R2 JB P1.7,W7 LCALL DE1 W7:SETB P1.1 CLR P1.2 INC R2 JB P1.4,W8 LCALL DE1 W8:INC R2 JB P1.5,W9 LCALL DE1 W9:INC R2 JB P1.6,W10 LCALL DE1 W10:INC R2 JB P1.7,W15 LCALL DE1 W15:SETB P1.3 DJNZ R4,W16 LJMP W18 W16:LJMP W17 W18:RET DE1:MOV P1,#0FFH;MOV 70H,#20D CLR P3.0 S3:LCALL DELAY DJNZ 70H,S3 SETB P3.0 SETB P2.0 抢答成功声音提示及回答问题时间20秒倒计时7

SETB P2.1 MOV DPTR,#TAB MOV A,R2 MOV B,#0AH DIV AB MOVC A,@A+DPTR MOV 56H,A MOV A,B MOVC A,@A+DPTR MOV 57H,A MOV TMOD,#00000001B MOV R5,#16H L32:MOV R6,#14H L31:MOV TH0,#3CH MOV TL0,#0B0H SETB TR0 CLR P2.4 MOV P0,56H LCALL DELAY SETB P2.4 CLR P2.5 MOV P0,57H LCALL DELAY SETB P2.5 CJNE R5,#14H,L34 LJMP L35 L34: JC L35 LJMP L30 L35: MOV A,R5 MOV B,#0AH DIV AB MOVC A,@A+DPTR MOV 58H,A MOV A,B MOVC A,@A+DPTR MOV 59H,A CLR P2.6 MOV P0,58H LCALL DELAY SETB P2.6 CLR P2.7 MOV P0,59H LCALL DELAY SETB P2.7 L30:JNB TF0,L30 CLR TF0 DJNZ R6,L31 DEC R5 CJNE R5,#0FFH,L32 MOV P1,#0FFH MOV 70H,#50D CLR P3.0 S7:LCALL DELAY DJNZ 70H,S7 SETB P3.0 MOV P2,#0FFH MOV R3,#00H MOV R7,#00H RET DELAY:MOV 51H,#10D;延时子程序 D0:MOV 52H,#248D D1:DJNZ 52H,D1 DJNZ 51H,D0 RET TAB:DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH END

五、分析

这次实训遗憾的是,我的实训材料是买的成品的板,没有自己去焊制自己的实训板,当然这节省了一些时间,但是,在原理图上确实也花费了一些时间。对于四路抢答器的程序方面,也有需要改进的地方,有很多的不合适的地方,比如,在违规抢答倒计时方面,当你违规抢答时,也会出现20秒的倒计时,和正常抢答的一样。所以,在以后的编程和调试过程中还应该注意这种小的毛病。

六、体会

本次实训使我对单片机有课更加深入的了解,以及对汇编语言的编程有了更进一步的体会,知道在编程的过程中会有大量的错误产生,一次一次的修改,有时真的比较麻烦。在最初读程序的过程中,也遇到过大量的程序读不懂,在编程的过程中,确实话费了很多的时间。

在此我非常要感谢的是各位知道老师不遗余力的指导,同样也非常感谢同学的帮助,在我不懂程序时帮助我完善程序。这次实训能够顺利的完成,当然与我个人的努力也是分不开的。

七、参考文献

[1]张鑫《单片机原理及应用》电子工业出版社2010年

[2]李泉溪《单片机原理与应用实例仿真》北京航天航空大学出版社 2009年

上一篇:工业互联网融合发展下一篇:公司企业文化宣言

热门文章
    相关推荐